FPGA(6)--7段显示译码器的加法计数器

文章目录

    • 一、实验目的
    • 二、实验内容
    • 三、实验设计与结果
    • 四、实验思考与小结

一、实验目的

在上次加法计数器仿真实验的基础上,掌握端口的引脚配置、实验箱的接线及程序的下载、调试等。

二、实验内容

1.在上次实验的基础上,编译、仿真可以进行0-99加法计数功能的计数器,其中输入端口包括时钟、清零、使能,输出端口包括个位、十位的七段译码输出,以及输出进位信号。
2. 在Quartus II上进行输入、输出端口的引脚配置。并根据引脚配置完成接线,下载至FPGA芯片中,在实验箱上完成验证。

三、实验设计与结果

1.修改上一次实验实现的“进行0-99加法计数功能的计数器“的VHDL描述,其中输入端口包括时钟、清零、使能,输出端口包括个位、十位的七段译码输出,以及输出进位信号。并将其封装成一个元件。其代码及封装后的元件如下:

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL ;
USE IEEE.STD_LOGIC_UNSIGNED.ALL ;
ENTITY cnt10 ISPORT ( clk, rst, en, load :     IN  STD_LOGIC ;data :   IN STD_LOGIC_VECTOR ( 3 DOWNTO 0 ) ;dout :  OUT STD_LOGIC_VECTOR ( 3 DOWNTO 0 )  ;cout :   OUT STD_LOGIC   )  ;
END ENTITY cnt10  ;ARCHITECTURE bhv OF cnt10 IS
BEGIN PROCESS (clk,rst,en,load)VARIABLE q : STD_LOGIC_VECTOR ( 3 DOWNTO 0 ); BEGIN IF rst = '0' THEN q := ( OTHERS => '0') ;ELSIF   clk 'EVENT AND clk = '1'  THEN IF  en = '1' THENIF  ( load = '0') THEN q := data ;  ELSEIF q < 9 THEN q := q+1;ELSE q := ( OTHERS => '0') ;END IF ;END IF ;END IF ;END IF ;IF q = "1001" THEN  cout <= '1' ; ELSE  cout <= '0' ;END IF ;dout <= q;END PROCESS ;
END ARCHITECTURE bhv ;

2.其中的“输出端口包括个位、十位的七段译码输出”,需要修改上一次实验的七段译码的VHDL描述,并将其封装成一个元件。代码如下:

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL ;
use Ieee.std_logic_unsigned.all;entity led7s isport (a: in std_logic_vector(3 downto 0);d: out std_logic_vector(6 downto 0));
end entity led7s;architecture bhv of led7s isbeginprocess (a)begincase (a) iswhen "0000" => d<= "0111111" ;when "0001" => d<= "0000110" ;when "0010" => d<= "1011011" ;when "0011" => d<= "1001111" ;when "0100" => d<= "1100110" ;when "0101" => d<= "1101101" ;when "0110" => d<= "1111101" ;when "0111" => d<= "0000111" ;when "1000" => d<= "1111111" ;when "1001" => d<= "1101111" ;when others => null;end case;end process;
end architecture bhv;

3.如下图将以上封装好的元件连接成可以实现功能的原理图,让其可以实现0-99加法计数功能,其中输入端口包括时钟、清零、使能,输出端口包括个位、十位的七段译码输出,以及输出进位信号。
在这里插入图片描述

4.进行输入、输出端口的引脚配置。注意配置引脚位置的合理性。如下图为相关的配置信息。
在这里插入图片描述

clk外接一个频率合适的时钟信号,使能端信号则由外接的上下推动的开关决定,而进位信号的输出则用一个外接的发光二极管指示灯表示。两个七段数码管则根据相关引脚信息给出。
在这里插入图片描述
在这里插入图片描述
5.根据引脚配置完成接线,下载至FPGA芯片中,在实验箱上完成验证。如下图为相关的实验验证。
在这里插入图片描述在这里插入图片描述

四、实验思考与小结

七段数码管有直流驱动和动态显示驱动两种。直流驱动:是指每个数码管的每一个段码都由一个单片机的I/O端口进行驱动,或者使用如BCD码二-十进制译码器译码进行驱动。优点是编程简单,显示亮度高,缺点是占用I/O端口多。动态显示驱动:是将所有数码管通过分时轮流控制各个数码管的的COM端,就使各个数码管轮流受控显示。将所有数码管的8个显示笔划"a,b,c,d,e,f,g,dp"的同名端连在一起,另外为每个数码管的公共极COM增加位选通控制电路,位选通由各自独立的I/O线控制,当单片机输出字形码时,所有数码管都接收到相同的字形码,但究竟是那个数码管会显示出字形,取决于单片机对位选通COM端电路的控制,所以我们只要将需要显示的数码管的选通控制打开,该位就显示出字形,没有选通的数码管就不会亮。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/347916.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

FPGA(7)--有限状态机--交通灯

一、实验目的 掌握有限状态机的编程和使用方法。 二、实验内容 设计一个十字路口交通灯控制器&#xff0c;东西(b)、南北(a)方向有红灯、黄灯、绿灯&#xff0c;持续时间分别为45、5、40秒&#xff0c;仿真验证其功能。 三、实验设计与结果 1.整体设计思路&#xff1a;根…

Spring Cloud配置–外部化应用程序配置

本教程是关于Spring Cloud Config的&#xff0c;这里我们将研究如何在不同的应用程序中针对不同的环境&#xff08;例如开发人员&#xff0c;本地&#xff0c;产品等&#xff09;使用Spring Cloud Config来管理和存储分布式外部配置属性。开发一个简单的云应用程序以使用云配置…

输入法问题_「图」KB4515384再爆新问题:OOBE时中文输入法阻止创建本地账户

KB4515384可谓是近期BUG数量最多的累积更新了&#xff0c;继开始菜单出现严重错误、音频故障、网络适配器问题、PIN登陆系统无法使用之后&#xff0c;在支持文档中微软承认了在初次设置(OOBE)过程中&#xff0c;使用某些语言将会阻止用户创建本地账户。微软在支持文档中表示&am…

FPGA(0)--quartusⅡ安装

微信公众号&#xff1a;创享日记 发送&#xff1a;quartus 获取软件安装包等 &#xff08;假设光盘驱动器号为 E&#xff0c; 所有软件安装到 D 分区&#xff09; 1.运行e:\QuartusII9.0 \ isetup.exe&#xff0c;直接点击“next”,一直到完成安装 2.设安装路径和目录为&#…

中文转化成拼音_五笔已经淘汰,拼音到达瓶颈,百度重拳出击,全新输入方式来袭!...

不知道大家发现没有&#xff0c;中文输入法的发展历程&#xff0c;其实就是通过建立云词库&#xff0c;改进词汇&#xff0c;发展AI技术来改善拼音输入法的识别率&#xff0c;进而取代五笔输入法的过程。拼音取代五笔&#xff0c;根本原因是易用好学&#xff0c;只要会讲普通话…

html属性和dom属性的区别,HTML属性与DOM属性的区别?

RTBTW&#xff0c;这样的文章我还是没有读懂http://www.cnblogs.com/tomkillua/archive/2012/08/15/2639420.html对于浏览器引擎而言&#xff0c;并不存在“HTML标签”这回事。其本质是DOM节点对象。也并不存在“HTML文档”这回事&#xff0c;其本质是DOM节点对象组成的文档树。…

java泛型方法 通配符_Java泛型教程–示例类,接口,方法,通配符等

java泛型方法 通配符泛型是Java编程的核心功能之一&#xff0c;它是Java 5中引入的。如果您使用的是Java Collections &#xff0c;并且版本5或更高版本&#xff0c;则可以肯定使用了它。 将泛型与集合类一起使用非常容易&#xff0c;但是它提供了比仅创建集合类型更多的功能&a…

如何使用Java和XML Config在Spring Security中启用HTTP基本身份验证

在上一篇文章中&#xff0c;我向您展示了如何在Java应用程序中启用Spring安全性 &#xff0c;今天我们将讨论如何使用Spring Security 在Java Web应用程序中启用Basic HTTP身份验证 。 如果您使用的是Spring Security 3.1或更低版本&#xff0c;我将向您展示如何同时使用Java配…

win10下JDK环境变量配置与IDEA开发工具清晰简洁步骤,迈出Java学习第一步

文章目录一、JDK下载二、win10下环境变量配置三、开发工具IDEA安装一、JDK下载 可以直接去官网下载&#xff0c;推荐下载JDK8.0版本 也可以这个网盘里面找一下下载&#xff1a;Windows下JDK8.0 提取码&#xff1a;0909 安装的时候建议jdk和jre都。 二、win10下环境变量配置 …

连不上机器判断机器状态_KUKA机器人为什么KPP故障联不上,断电重启hou报RDC连接连接不上...

1.RDC连不上出现的现象: 通过指示灯的判断是最简单快捷的,如果是坏了可以直接提示RDC的故障,如果灯不亮可直接判断是RDC坏了或者是线没连上.如果RDC卡连不上,指示是不亮的!那么KSP或KPP网络断,同样会引起RDC不能读取数据,这是问什么呢?表面上看在KCB总线中KSP与KPP是串行连接的…

分布式部署_业务模块化打造单体和分布式部署同步支持方案

我在2019年中国.NET开发者峰会上为大家分享了我们的微服务电商安全工程实践&#xff0c;那次会议分享的高清录播已经上传到我的腾讯课堂&#xff0c;大家可以通过底部的小程序打开直接观看(复习)。在大会上跟大家提到&#xff0c;我们当时只有4个人的创业团队。追求的是一个既可…

html文字依次显示,利用定时器和css3动画制作文字依次渐变显示的效果

如上图&#xff0c;这种效果看着是不是挺“柔”的附代码文字一次渐变出现body{background-color: #333333;padding: 20px;-webkit-box-sizing: border-box;-moz-box-sizing: border-box;box-sizing: border-box;}div,span{color: #ffffff;font-size: 30px;}.hidden{display: no…

面向Java程序员的20大Spring REST面试问题答案

大家好&#xff0c;过去两周来&#xff0c;我一直在与Spring教程共享一些REST&#xff0c;今天&#xff0c;我将向申请Web开发人员角色的Java开发人员共享一些常见的Spring和REST面试问题。 由于Spring Framework是用于开发Java Web应用程序和RESTful Web Services的最受欢迎的…

你还认识变量吗?Java基础学习不可略过的基本语法,简而不漏,变量的定义赋值和分类,系统认识Java中的变量有哪些?

文章目录变量的声明和赋值变量分类变量的声明和赋值 class VariableTest{public static void main(String[] args) {//变量的定义int myAge 21;//变量的使用System.out.println(myAge);//变量的声明int myNumber;//变量的赋值myNumber 9090;} }特别注意&#xff1a; ①定义变…

地图统计_庄园地图“出镜率”排名,500场数据统计,红教堂第一

在11赛季的时候很多玩家都私信趣游君&#xff0c;他们感觉自己排位经常会遇到一些让人讨厌的地图&#xff0c;也是因为经常遇到这些地图&#xff0c;所以导致自己的排位赛迟迟无法上分&#xff0c;因为要求我们做一下地图出镜率的排名。为了完成这个刷剧统计&#xff0c;趣游君…

2018安徽省计算机一级试题答案,2018年计算机等一级考试试题100题及参考答案.docx...

2018年计算机等一级考试试题100题及参考答案.docx文档编号&#xff1a;395397文档页数&#xff1a;14上传时间&#xff1a; 2018-09-03文档级别&#xff1a;精品资源文档类型&#xff1a;docx文档大小&#xff1a;28.84KB2018 年 计算机等一级考试试题 100 题 及参考答案 1. 在…

简自动类型提升,精度损失类型强制转换,常用转义字符,简单帮你回顾Java基本数据类型整形浮点型字符型布尔型Boolean及其运算规则

文章目录整形浮点型字符型布尔型boolean自动类型提升强制类型转换注意整形 bit是计算机中的最小存储单位。 byte是计算机中的基本存储单元。 1MB1024KB——1KB1024B&#xff08;byte&#xff09; public class JavaTest {public static void main(String[] args) {byte b1 1…

linux posix 线程池_linux多线程--POSIX Threads Programming

linux多线程自己从接触很久也有不少实践&#xff0c;但总是觉得理解不够深刻&#xff0c;不够系统。借这篇文章试着再次系统学习一下linux多线程编程&#xff0c;理解编程的concept&#xff0c;细致看一下POSIX pthread API的实现。还是凭借强大的google search&#xff0c;找到…

计算机相关货品类别,工业计算机的种类以及产品供应链

(文章来源&#xff1a;OFweek)因为工业计算机几乎是针对某种特定需求而生&#xff0c;它的型态可说是五花八门&#xff0c;如果要简单做个分类&#xff0c;可以工业计算机的类型与层级来区分。大致上有板卡、子系统与系统整合解决方案三种。板卡&#xff1a;又有单板计算机(Sin…

Java基本语法(6)--算术运算符

除号&#xff08;/&#xff09;要注意的是除数与被除数的数据类型。如果都是整型&#xff0c;那么结果还是一个整型&#xff0c;因此结果相当于是取整&#xff1b;如果至少有一浮点型&#xff0c;那么结果是浮点型&#xff0c;也就可以除到完整彻底&#xff0c;带小数点的。 前…