FIR基本型仿真_03

作者:桂。

时间:2018-02-05 20:50:54

链接:http://www.cnblogs.com/xingshansi/p/8419452.html 


一、仿真思路

  设计低通滤波器(5阶,6个系数),滤波器特性:

 借助低通滤波器对信号进行滤波:

二、VIVADO仿真

  首先利用MATLAB生成定点补码:

%=============设置系统参数==============%
f1=500;        %设置波形频率
f2=3600;
Fs=8000;        %设置采样频率
L=1024;         %数据长度
N=16;           %数据位宽
%=============产生输入信号==============%
t=0:1/Fs:(1/Fs)*(L-1);
y=sin(2*pi*f1*t)+sin(2*pi*t*f2);
y_n=round(y*(2^(N-3)-1));      %N比特量化;如果有n个信号相加,则设置(N-n)
%=================画图==================%
a=10;           %改变系数可以调整显示周期
stem(t,y_n);
axis([0 L/Fs/a -2^N 2^N]);      %显示
%=============写入外部文件==============%
fid=fopen('sin_data.txt','w');    %把数据写入sin_data.txt文件中,如果没有就创建该文件 
for k=1:length(y_n)B_s=dec2bin(y_n(k)+((y_n(k))<0)*2^N,N);for j=1:Nif B_s(j)=='1'tb=1;elsetb=0;endfprintf(fid,'%d',tb);endfprintf(fid,'\r\n');
endfprintf(fid,';');
fclose(fid);

  vivado的testbench:

`timescale 1ns / 1ps
module tb;// Inputslogic Clk;logic rst;// Outputslogic signed [23:0] Yout;//Generate a clock with 10 ns clock period.
initial  Clk <= 0;always #5 Clk = ~Clk;//Initialize and apply the inputs.
//-------------------------------------//
parameter data_num = 32'd1024;
integer   i = 0;
reg [15:0]  Xin[1:data_num];
reg  [15:0]  data_out;initial beginrst = 1;
#20rst = 0;
#40$readmemb("D:/PRJ/vivado/simulation_ding/009_lpf6tap/matlab/sin_data.txt",Xin);
endalways @(posedge Clk) beginif(rst)begindata_out <= 0;endelse   begindata_out <= Xin[i];i <= i + 8'd1;end
end   fir_6tap uut (
.Clk(Clk), 
.Xin(data_out), 
.Yout(Yout)
);
endmodule

  子模块 fir_6tap:

`timescale 1ns / 1ps
module fir_6tap(input Clk,input signed [15:0] Xin,output reg signed [23:0] Yout);//Internal variables.wire signed   [7:0] H0,H1,H2,H3,H4,H5;wire signed   [23:0] MCM0,MCM1,MCM2,MCM3,MCM4,MCM5,add_out1,add_out2,add_out3,add_out4,add_out5;wire signed     [23:0] Q1,Q2,Q3,Q4,Q5;//filter coefficient initializations.
//H = [-2 -1 3 4].assign H0 = -15;assign H1 = 19 ;assign H2 = 123;assign H3 = 123;assign H4 = 19;assign H5 = -15;//Multiple constant multiplications.assign MCM5 = H5*Xin;assign MCM4 = H4*Xin;assign MCM3 = H3*Xin;assign MCM2 = H2*Xin;assign MCM1 = H1*Xin;assign MCM0 = H0*Xin;//addersassign add_out1 = Q1 + MCM4;assign add_out2 = Q2 + MCM3;assign add_out3 = Q3 + MCM2;    assign add_out4 = Q4 + MCM1;   assign add_out5 = Q5 + MCM0;   
//flipflop instantiations (for introducing a delay).DFF dff1 (.Clk(Clk),.D(MCM5),.Q(Q1));DFF dff2 (.Clk(Clk),.D(add_out1),.Q(Q2));DFF dff3 (.Clk(Clk),.D(add_out2),.Q(Q3));DFF dff4 (.Clk(Clk),.D(add_out3),.Q(Q4));DFF dff5 (.Clk(Clk),.D(add_out4),.Q(Q5));
//Assign the last adder output to final output.always@ (posedge Clk)Yout <= add_out5;endmodule

  DFF:

`timescale 1ns / 1ps
module DFF(input Clk,input [23:0] D,output reg [23:0]   Q);always@ (posedge Clk)Q = D;endmodule

  主要电路图(4阶为例):

  仿真结果,与MATLAB测试一致:

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/242567.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

PCIE入门

PCIE入门 选择型号 或者 具体步骤 PCIE配置

背账100万,不付利息不用还钱,银行套路好深

年底了大家都缺钱&#xff0c;所以大家都在想方设法通过各种渠道去借钱&#xff0c;银行不行就转借呗、微粒贷&#xff0c;再不行就转小贷公司&#xff0c;小贷公司不行再转网贷。。。为了钱大家都挺拼的&#xff0c;但是拼也不一定能借到钱&#xff0c;最后有些人甚至想到了一…

FIR仿真module_04

作者&#xff1a;桂。 时间&#xff1a;2018-02-06 12:10:14 链接&#xff1a;http://www.cnblogs.com/xingshansi/p/8421001.html 前言 本文主要记录基本的FIR实现&#xff0c;以及相关的知识点。 一、基本型实现 首先从最基本的FIR入手&#xff1a; 对应module&#xff1a;…

PCIE下载的驱动安装

PCIE下载的驱动安装 windowr显示运行窗口&#xff0c;输入cmd

FIR调用DSP48E_05

作者&#xff1a;桂。 时间&#xff1a;2018-02-06 17:52:38 链接&#xff1a;http://www.cnblogs.com/xingshansi/p/8423457.html 前言 到目前为止&#xff0c;本文没有对滤波器实现进行梳理&#xff0c;FIR仿真验证的平台&#xff08;基于FPGA实现&#xff09;包括HLS、Sys…

快播王欣明天就出狱了,他能借钱东山再起吗?

2018年2月8日&#xff0c;估计宅男们又得欢腾了&#xff0c;因为快播的王欣就要出狱了&#xff01;王欣是在2014年8月8日被捕的&#xff0c;在2016年9月13日宣判&#xff0c;判刑三年半。按照法律&#xff0c;刑期由拘押之日算起&#xff0c;不考虑减刑等因素&#xff0c;不出意…

基础002_V7-CLB

一、综述 参考ug474.pdf&#xff1a; 7系列中&#xff0c;一个CLB包含两个slice&#xff1a;每个CLB的资源&#xff1a;CLB可配置的主要功能&#xff1a;二、主要功能 LUT是基本单元&#xff0c;例如选择器assign muxout (sel) ? din_0: din_1;A-shift register每个Slice对应…

自定义IP在PCIE中使用

自定义IP在PCIE中使用

基础001_Xilinx V7资源

作者&#xff1a;桂。 时间&#xff1a;2018-02-08 09:37:35 链接&#xff1a;http://www.cnblogs.com/xingshansi/p/8430247.html 前言 本文主要是Xilinx V7系列的零碎记录&#xff0c;以便查阅。 一、器件资料 主要参考《Xilinx新一代FPGA设计套件VIVADO应用指南》。FPGA基本…

角度和弧度的相互换算

角度和弧度的相互换算 既可以使用角度来测量角&#xff0c;也可以使用弧度来测量角。 弧长等于半径对应的角为1弧度。 平时有的单位为角度&#xff0c;没有单位表示的弧度。 弧度与角度的相互换算 利用相似原理。得出周角之比等于弧长之比。 角秒&#xff0c;又称弧秒&a…

银行爆雷不断,放在银行的钱安全吗?

近段银行可谓是多事之秋&#xff0c;在监管重磅之下银行爆雷不断&#xff0c;进入2018年以来&#xff0c;监管已经引爆了超过500个雷&#xff0c;各个银行貌似要过年了都在排队爆雷迎接新年一样&#xff0c;其中最大的两个雷被浦发银行和邮储银行领走。2018年罚单泪如雨下1月19…

基础003_V7-Memory Resources

一、综述 参考ug473.pdf。 常用Memory 资源&#xff1a; 在IP核中&#xff0c;Block memory&#xff08;distributed memory为CLB中的资源&#xff09;&#xff1a; 通常选用Native&#xff0c;而不用AXI接口&#xff1a; Block RAM可配置单端口RAM、伪双端口RAM、双端口RAM、单…

现代控制理论基础

现代控制理论基础 机理建模法列写状态空间表达式 状态方程是指刻画系统输入和状态关系的表达式。状态向量所满足的向量常微分方程称为控制系统的状态方程。状态方程是控制系统数学模型的重要组成部分。 状态方程的描述 其中A、B、C、D的位置是固定的。 第一步先找状态。状态的…

信用非常良好,为何银行不给你批信用卡?

大家都是知道申请信用卡对个人信用的要求很高&#xff0c;稍微有逾期都有可能被拒绝。但是很多网友反映&#xff0c;自己信用没有逾期&#xff0c;可是为什么申请信用卡还是被拒绝了呢&#xff1f;其实申请信用卡不只是单单看信用这么简单&#xff0c;银行在审批的时候是从申请…

云闪付单个红包最高2018,这是要打败支付宝的节奏吗?

最近过年&#xff0c;红包雨满天飞&#xff0c;各家各路都来参与&#xff0c;特别是移动支付领域的竞争更为激烈&#xff0c;其中支付宝、微信、云闪付的战火烧的最旺。云闪付巨额红包意在抢占移动支付市场云闪付最近一段时间又出来闹事&#xff0c; 为推广银联云闪付&#xff…

如何解决MathType中公式与文字错位的问题

如何解决MathType中公式与文字错位的问题 使用MathType数学公式编辑器编辑公式时&#xff0c;难免会出现公式与文字错位的问题&#xff0c;这不仅影响整个文档的美观&#xff0c;也会给排版带来不便。公式与文字对齐的方法主要有三种&#xff0c;分布是清除格式、使用MathType…

基础004_V7-DSP Slice

主要参考ug479.pdf。之前的文章&#xff1a;FIR调用DSP48E_05。本文主要记录基本用法。 一、DSP48核 A-参数说明 instrctions&#xff0c;多个功能&#xff0c;通过sel选用目前没发现C勾选与否&#xff0c;有何影响。 如上图所示&#xff0c;结果3拍后输出&#xff1a; 其他参数…

打字小妙招

打字小妙招 u字的拼音是字之间的组合 例如&#xff1a;奆 v数字可是大写 例如&#xff1a;壹万贰仟叁佰肆拾伍 v数字计算 例如&#xff1a;123

揭秘买车0首付的套路,羊毛出在羊身上

买车现在已经成为很多年轻人的一种追求&#xff0c;不管是城里的还是农村的&#xff0c;也不管有钱没钱&#xff0c;总之&#xff0c;很多年轻人觉得有车层次就是不一样&#xff0c;开出去把妹也很拉风。但是很多人在买车的时候根本就没有考虑自己的经济能力&#xff0c;甚至很…

地震中房子变废墟了,贷款还需要还吗?

2月12日18时31分在河北省廊坊市永清县(北纬39.37度&#xff0c;东经116.67度)发生4.3级地震&#xff0c;震源深度20千米。截止目前暂时没有人员伤亡报告。我国是一个自然灾害比较多的国家&#xff0c;比如地震&#xff0c;泥石流、台风、洪灾等等&#xff0c;这些自然灾害每年都…