UART协议——FPGA代码篇

一.串口(UART)协议简介

        UART 串口通信有几个重要的参数,分别是波特率、起始位、数据位、停止位和奇偶检验位,对于两个使用UART 串口通信的端口,这些参数必须匹配,否则通

图片

  • 起始位:表示数据传输的开始,电平逻辑为“0” 。

  • 数据位:可能值有5、6、7、8、9,表示传输这几个bit 位数据。一般取值为8,因为一个ASCII 字符值为8 位。

  • 奇偶校验位:用于接收方对接收到的数据进行校验,校验“1” 的位数为偶数(偶校验) 或奇数(奇校验),以此来校验数据传送的正确性,使用时不需要此位也可以。

  • 停止位:表示一帧数据的结束。电平逻辑为“1”。

  • 波特率:串口通信时的速率,它用单位时间内传输的二进制代码的有效位(bit) 数来表示,其单位为每秒比特数bit/s(bps)。常见的波特率值有4800、9600、14400、38400、115200 等,数值越大数据传输的越快,波特率为115200 表示每秒钟传输115200 位数据。

 

二.串口发送端设计 

  (1)流程设计 

         当使能信号有效后拉高发送标志信号,标志模块进入发送过程;当发送完10个bit后,拉低发送标志信号,标志发送过程结束。使能信号有效时将要发送的数据寄存。

  (2)verilog代码

// ** 功能 : 1、基于FPGA的串口发送驱动模块;
//        2、可设置波特率BPS、主时钟CLK_FRE;
//        3、起始位1bit,数据位8bit,停止位1bit,无奇偶校验;                                                                                                                                             
//        4、每发送1个字节后拉高uart_tx_done一个周期,可用于后续发送多字节模块。                                                                                                                                             
// *******************************************************************************************************  module uart_tx
#(parameter  integer  BPS    = 9_600    ,  //发送波特率parameter   integer  CLK_FRE  = 50_000_000  //主时钟频率
)
(
//系统接口input       sys_clk      ,      //系统时钟input       sys_rst_n    ,      //系统复位,低电平有效
//用户接口  input  [7:0]   uart_tx_data  ,      //需要通过UART发送的数据,在uart_tx_en为高电平时有效input      uart_tx_en    ,      //发送有效,当其为高电平时,代表此时需要发送的数据有效
//UART发送  output  reg    uart_tx_done  ,      //成功发送1BYTE数据后拉高一个周期output   reg    uart_txd          //UART发送数据线tx
);//当发送使能信号到达时,寄存待发送的数据以免后续变化、丢失
always @(posedge sys_clk or negedge sys_rst_n)beginif(!sys_rst_n)uart_tx_data_reg <=8'd0;else if(uart_tx_en)              //要发送有效的数据uart_tx_data_reg <= uart_tx_data;    //寄存需要发送的数据      else uart_tx_data_reg <= uart_tx_data_reg;
end    
//当发送使能信号到达时,进入发送过程
always @(posedge sys_clk or negedge sys_rst_n)beginif(!sys_rst_n)tx_state <=1'b0;  else if(uart_tx_en)                        tx_state <= 1'b1;            //发送信号有效则进入发送过程//发送完了最后一个数据则退出发送过程    else if((bit_cnt == BITS_NUM - 1'b1) && (clk_cnt == BPS_CNT - 1'b1))    tx_state <= 1'b0;                                              else tx_state <= tx_state;  
end//发送数据完毕后拉高发送完毕信号一个周期,指示一个字节发送完毕
always @(posedge sys_clk or negedge sys_rst_n)beginif(!sys_rst_n)uart_tx_done <=1'b0;//发送数据完毕后拉高发送完毕信号一个周期     else if((bit_cnt == BITS_NUM - 1'b1) && (clk_cnt == BPS_CNT - 1'b1))                                             uart_tx_done <=1'b1;                    else uart_tx_done <=1'b0;
end
//进入发送过程后,启动时钟计数器与发送个数bit计数器
always @(posedge sys_clk or negedge sys_rst_n)beginif(!sys_rst_n)beginclk_cnt <= 32'd0;bit_cnt <= 4'd0;endelse if(tx_state) begin                    //在发送状态if(clk_cnt < BPS_CNT - 1'd1)begin            //一个bit数据没有发送完clk_cnt <= clk_cnt + 1'b1;              //时钟计数器+1bit_cnt <= bit_cnt;                  //bit计数器不变end          else begin                        //一个bit数据发送完了  clk_cnt <= 32'd0;                  //清空时钟计数器,重新开始计时bit_cnt <= bit_cnt+1'b1;              //bit计数器+1,表示发送完了一个bit的数据end          end          else begin                          //不在发送状态clk_cnt <= 32'd0;                             //清零bit_cnt <= 4'd0;                              //清零end
end
endmodule

   (3)前仿真代码

`timescale 1ns/1ns  //定义时间刻度module tb_uart_tx();reg       sys_clk      ;      
reg       sys_rst_n    ;      
reg [7:0]    uart_tx_data  ;
reg       uart_tx_en    ;wire        uart_txd    ;parameter  integer  BPS   = 'd230400    ;      //波特率
parameter  integer  CLK_FRE = 'd50_000_000  ;      //系统频率50Mlocalparam  integer  BIT_TIME = 'd1000_000_000 / BPS ;  //计算出传输每个bit所需要的时间initial begin  sys_clk <=1'b0;  sys_rst_n <=1'b0;    uart_tx_en <=1'b0;uart_tx_data <=8'd0;        #80                     //系统开始工作sys_rst_n <=1'b1;#200@(posedge sys_clk);uart_tx_en <=1'b1;  uart_tx_data <= ({$random} % 256);    //发送8位随机数据#20  uart_tx_en <=1'b0;#(BIT_TIME * 10)              //发送1个BYTE需要10个bit#200 $finish;                //结束仿真
endalways #10 sys_clk=~sys_clk;          //定义主时钟,周期20ns,频率50M//例化发送驱动模块
uart_tx #(.BPS      (BPS      ),    .CLK_FRE    (CLK_FRE    )    
)  
uart_tx_inst(  .sys_clk    (sys_clk    ),      .sys_rst_n    (sys_rst_n    ),.uart_tx_data  (uart_tx_data  ),      .uart_tx_en    (uart_tx_en    ),    .uart_tx_done  (uart_tx_done  ),    .uart_txd    (uart_txd    )  
);endmodule

   (3)结果

         

三.接收端设计

  (1)流程设计 

        串口的传输是以起始位开始的,而起始位是将数据线拉低 ,所以我们需要捕捉数据线的下降沿,将接收数据线打拍3次,捕捉其下降沿。当捕捉到接收数据线的下降沿,拉高接收标志信号,标志模块进入接收过程;当接收完10个bit后,拉低接收标志信号,标志接收过程结束。

  (2)verilog代码

module uart_rx
#(parameter  integer  BPS    = 9_600    ,    //发送波特率parameter   integer  CLK_FRE  = 50_000_000    //输入时钟频率
)  
(  
//系统接口input         sys_clk      ,      //50M系统时钟input         sys_rst_n    ,      //系统复位
//UART接收线  input         uart_rxd    ,      //接收数据线
//用户接口  output reg       uart_rx_done  ,      //数据接收完成标志,当其为高电平时,代表接收数据有效output reg [7:0]  uart_rx_data        //接收到的数据,在uart_rx_done为高电平时有效
);assign  neg_uart_rxd = uart_rx_d3 & (~uart_rx_d2);  //捕获数据线的下降沿,用来标志数据传输开始//将数据线打3拍,作用1:同步不同时钟域信号,防止亚稳态;作用2:捕获下降沿
always@(posedge sys_clk or negedge sys_rst_n)beginif(!sys_rst_n)beginuart_rx_d1 <= 1'b0;uart_rx_d2 <= 1'b0;uart_rx_d3 <= 1'b0;endelse beginuart_rx_d1 <= uart_rxd;uart_rx_d2 <= uart_rx_d1;uart_rx_d3 <= uart_rx_d2;end    
end
//捕获到数据下降沿(起始位0)后,拉高传输开始标志位,并在第9个数据(终止位)的传输过程正中(数据比较稳定)再将传输开始标志位拉低,标志传输结束
always@(posedge sys_clk or negedge sys_rst_n)beginif(!sys_rst_n)rx_en <= 1'b0;else begin if(neg_uart_rxd )                rx_en <= 1'b1;//接收完第9个数据(终止位)将传输开始标志位拉低,标志传输结束,判断高电平else if((bit_cnt == 4'd9) && (clk_cnt == BPS_CNT >> 1'b1) && (uart_rx_d3 == 1'b1) )rx_en <= 1'b0;else rx_en <= rx_en;      end
end
//当数据传输到终止位时,拉高传输完成标志位,并将数据输出
always@(posedge sys_clk or negedge sys_rst_n)beginif(!sys_rst_n)beginuart_rx_done <= 1'b0;uart_rx_data <= 8'd0;end  //结束接收后,将接收到的数据输出else if((bit_cnt == 4'd9) && (clk_cnt == BPS_CNT >> 1'd1) && (uart_rx_d3 == 1'b1))begin    uart_rx_done <= 1'b1;                  //仅仅拉高一个时钟周期uart_rx_data <= uart_rx_data_reg;  end              else begin          uart_rx_done <= 1'b0;                  //仅仅拉高一个时钟周期uart_rx_data <= uart_rx_data;end
end//时钟每计数一个BPS_CNT(传输一位数据所需要的时钟个数),即将数据计数器加1,并清零时钟计数器
always@(posedge sys_clk or negedge sys_rst_n)beginif(!sys_rst_n)beginbit_cnt <= 4'd0;clk_cnt <= 32'd0;endelse if(rx_en)begin                            //在接收状态if(clk_cnt < BPS_CNT - 1'b1)begin                 //一个bit数据没有接收完clk_cnt <= clk_cnt + 1'b1;                    //时钟计数器+1bit_cnt <= bit_cnt;                           //bit计数器不变end                                               else begin                                        //一个bit数据接收完了  clk_cnt <= 32'd0;                             //清空时钟计数器,重新开始计时bit_cnt <= bit_cnt + 1'b1;                    //bit计数器+1,表示接收完了一个bit的数据end                                               end                                                   else begin                                        //不在接收状态bit_cnt <= 4'd0;                              //清零clk_cnt <= 32'd0;                             //清零end    
endendmodule

   (3)前仿真代码

// ** 功能 : 1、对基于FPGA的串口接收驱动模块的测试testbench
//        2、通过构建一个task来模拟上位机时序发送数据给串口接收驱动,观察该模块能否成功接收数据。
//        3、依次发送4个随机的8bit数据                                                                                                                                             
// *******************************************************************************************************      `timescale 1ns/1ns  //定义时间刻度//模块、接口定义
module tb_uart_rx();reg       sys_clk      ;      
reg       sys_rst_n    ;      
reg       uart_rxd    ;wire       uart_rx_done  ;    
wire  [7:0]  uart_rx_data  ;localparam  integer  BPS   = 'd230400        ;  //波特率
localparam  integer  CLK_FRE = 'd50_000_000      ;  //系统频率50M
localparam  integer  CNT     = 1000_000_000 / BPS  ;  //计算出传输每个bit所需要的时间,单位:ns//初始时刻定义
initial begin  $timeformat(-9, 0, " ns", 10);  //定义时间显示格式  sys_clk  =1'b0;  sys_rst_n <=1'b0;    uart_rxd <=1'b1;#20 //系统开始工作sys_rst_n <=1'b1;#3000rx_byte({$random} % 256);    //生成8位随机数1rx_byte({$random} % 256);    //生成8位随机数2rx_byte({$random} % 256);       //生成8位随机数3rx_byte({$random} % 256);       //生成8位随机数4  #60  $finish();
end//每当成功接收一个BYTE的数据,就在测试端窗口打印出来
always @(posedge sys_clk)beginif(uart_rx_done)begin$display("@time%t", $time);  $display("rx : 0x%h",uart_rx_data);end
end//定义任务,每次发送的数据10 位(起始位1+数据位8+停止位1)
task rx_byte(input [7:0] data
);integer i; //定义一个常量//用 for 循环产生一帧数据,for 括号中最后执行的内容只能写 i=i+1for(i=0; i<10; i=i+1) begincase(i)0: uart_rxd <= 1'b0;    //起始位1: uart_rxd <= data[0];    //LSB2: uart_rxd <= data[1];3: uart_rxd <= data[2];4: uart_rxd <= data[3];5: uart_rxd <= data[4];6: uart_rxd <= data[5];7: uart_rxd <= data[6];8: uart_rxd <= data[7];    //MSB9: uart_rxd <= 1'b1;    //停止位endcase#CNT;             //每发送 1 位数据延时end    
endtask               //任务结束//设置主时钟
always #10 sys_clk <= ~sys_clk;    //时钟20ns,50M//例化被测试的串口接收驱动
uart_rx
#(.BPS      (BPS      ),    .CLK_FRE    (CLK_FRE    )      
)
uart_rx_inst(.sys_clk    (sys_clk    ),      .sys_rst_n    (sys_rst_n    ),      .uart_rxd    (uart_rxd    ),      .uart_rx_done  (uart_rx_done  ),    .uart_rx_data  (uart_rx_data  )  
);endmodule

  (3)结果

 

 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/231817.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

科技云报道:至简至强,新一代服务器的算力美学

科技云报道原创。 在这个时代&#xff0c;数据和计算的边界正在迅速扩张。 随着云计算、物联网和人工智能的日益成熟&#xff0c;对算力的需求已经突破了传统的限制&#xff0c;进入了一个全新的阶段。在这个阶段&#xff0c;不仅是算力的量级发生了变化&#xff0c;其性质和…

2-以太坊虚拟机

以太坊虚拟机&#xff0c;简称EVM&#xff0c;是用来执行以太坊上的交易的。业务流程如下图&#xff1a; 输入一笔交易&#xff0c;内部会转换成一个Message对象&#xff0c;传入EVM执行。 如果是一笔普通转账交易&#xff0c;那么直接修改StateDB中对应的账户余额即可。如果…

数据结构:树(Tree)

树型结构 树的概念 树是一种非线性结构&#xff0c;他是由n&#xff08;n>0&#xff09;个有限结点组成的一个具有层次关系的集合。 当n0时&#xff0c;该树为空树。 在任意一个非空树中都满足以下条件&#xff1a; 1、有一个特殊的结点&#xff0c;称为根结点&#xff0c…

GaussDB数据库表创建行访问控制策略

目录 一、前言 二、GaussDB中的行访问控制 1、CREATE ROW LEVEL SECURITY POLICY语法 2、ALTER ROW LEVEL SECURITY POLICY语法 3、ROW LEVEL SECURITY策略与适配SQL语法关系 三、GaussDB中的行访问控制策略示例 1、实现GaussDB行访问控制的一般步骤 2、行访问控制策略…

关于#c语言#的问题:分析递归调用的过程◇画出调用过程各语句执行过程

关于#c语言#的问题&#xff1a;分析递归调用的过程◇画出调用过程各语句执行过程 当涉及到递归调用的过程时&#xff0c;可以通过绘制函数调用栈来分析和理解递归的执行过程。下面是一个示例的C语言递归函数和相应的调用过程&#xff1a; #include <stdio.h>void recurs…

详解 Jeecg-boot 框架如何配置 elasticsearch

目录 一、下载安装 Elasticsearch 1、 地址&#xff1a;https://www.elastic.co/cn/downloads/elasticsearch 2、下载完成后&#xff0c;解压缩&#xff0c;进入config目录更改配置文件 3、 修改配置完成后&#xff0c;前往bin目录启动el 4、访问&#xff1a;localhost:92…

【Stm32-F407】全速DAP仿真器下载程序

文章内容如下: 1) 全速DAP仿真器简介2) 全速DAP仿真器下载程序流程 1) 全速DAP仿真器简介 1&#xff09;全速DAP仿真器简介 DAP全称 Data Acquisition Processor&#xff0c;是一种用于数据采集和实时控制的设备。本文使用的全速DAP仿真器遵循ARM公司的CMSIS-DAP标准&#xff…

采购oled屏幕,应注意什么

在采购OLED屏幕时&#xff0c;应注意以下几点&#xff1a; 规格和参数&#xff1a;了解OLED屏幕的规格和参数&#xff0c;包括尺寸、分辨率、亮度、对比度、响应时间等。确保所采购的屏幕符合项目的需求和预期效果。 品质和可靠性&#xff1a;选择具有可靠品质和稳定性的OLED屏…

Docker单点部署[8.11.3] Elasticsearch + Kibana + ik分词器

文章目录 一、Elasticsearch二、Kibana三、访问四、其他五、ik分词器第一种&#xff1a;在线安装第二种&#xff1a;离线安装 Elasticsearch 和 Kibana 版本一般需要保持一致才能一起使用&#xff0c;但是从 8.x.x开始&#xff0c;安全验证不断加强&#xff0c;甚至8.x.x之间…

geemap学习笔记029:使用Earth Engine数据--几何(Geometry)数据

前言 从本节开始将会分类别的进行学习&#xff0c;例如使用Earth Engine数据、使用本地地理数据、可视化地理数据、分析地理数据等&#xff0c;当然前面介绍过的&#xff0c;就不再赘述。 1 导入库并显示地图 import ee import geemapee.Initialize()2 创建几何对象-1 Map …

电子商务网站知识|搭建自己的电子商务网站需要注意什么|搭建电商独立站的注意事项

电子商务网站建设步骤包括什么呢? 电子商务网站建设第一步&#xff0c;网站的规划与设计 电子商务网站算是一个比较复杂的系统&#xff0c;电商网站建设前就要想好需要有的功能。电子商务网站的规划也是建所有网站都需要做的事情&#xff0c;在规划设计中需要对网站进行整体…

【计算机网络】TCP协议——1.报文格式详解

前言 上篇讲解了UDP报文格式。TCP和UDP是同层协议&#xff0c;都属于传输层&#xff0c;数据来源于上层——应用层 目录 一. TCP协议概述 二. TCP报文格式 1. 两个问题 2. 确认号和序列号 3. 标志位字段 4. 窗口大小 5. 校验和字段 6. 紧急指针与紧急数据 7. 选项字…

【uniapp小程序-上拉加载】

在需要上拉加载的页面的page.json上添加红框框里面的 onReachBottom() {if(this.commentCurrent<this.commentTotal){this.commentCurrent 1; this.commentList();this.status loading;}else{this.status ;} }, methods:{commentList(){let params {courseid:this.cour…

QUIC在零信任解决方案的落地实践

一 前言 ZTNA为以“网络为中心”的传统企业体系架构向以“身份为中心”的新型企业安全体系架构转变&#xff0c;提供解决方案。随着传统网络边界不断弱化&#xff0c;企业SaaS规模化日益增多&#xff0c;给终端安全访问接入创造了多元化的空间。其中BYOD办公方式尤为突出&#…

统一日志管理方案:Spring项目logback日志与logstash和Elasticsearch整合

原创/朱季谦 最近在做一个将分布式系统的日志数据通过logstash传到kafka的功能&#xff0c;做完之后决定业余搭一个ELK日志分析系统&#xff0c;将logstash采集到的日志传给Elasticsearch。经过一番捣鼓&#xff0c;也把这个过程给走通了&#xff0c;于是写了这篇总结&#xff…

Linux发行版比较:Ubuntu、CentOS、Red Hat与其他系统的优劣分析

导言 Linux作为开源操作系统&#xff0c;有众多不同的发行版&#xff0c;每个发行版都有其独特的特性和适用场景。本文将聚焦于比较Ubuntu、CentOS、Red Hat和其他系统&#xff0c;深入分析它们的优势、用途以及在不同领域的应用。Linux操作系统的生态系统中&#xff0c;Ubuntu…

SpringCloudAliBaba篇之Seata:分布式事务组件理论与实践

1、事务简介 事务(Transaction)是访问并可能更新数据库中各种数据项的一个程序执行单元(unit)。在关系数据库中&#xff0c;一个事务由一组SQL语句组成&#xff0c;事务具有4个属性&#xff1a;原子性、一致性、隔离性、持久性。这四个属性通常称为ACID原则。 原子性(atomici…

【AI提示词专栏】提示词思维导图帮助

提示词重要作用 提示词&#xff0c;就像是我们生活中的“小助手”或者“贴心小棉袄”&#xff0c;总是在关键时刻给我们提供帮助和指引。它们就像那些无处不在的小标签&#xff0c;时刻提醒我们该做什么、不该做什么&#xff0c;或者给我们一些有趣的启示。 比如&#xff0c;在…

HarmonyOS4.0从零开始的开发教程19HarmonyOS应用/元服务上架

HarmonyOS&#xff08;十七&#xff09;HarmonyOS应用/元服务上架 概述 当您开发、调试完HarmonyOS应用/元服务&#xff0c;就可以前往AppGallery Connect申请上架&#xff0c;华为审核通过后&#xff0c;用户即可在华为应用市场获取您的HarmonyOS应用/元服务。 HarmonyOS会…

HTML_CSS的基本选择器的使用及其作用范围和优先级

目录 ✨CSS的使用&#xff1a;行内样式内部样式外部样式 ✨CSS基本选择器&#xff1a;id选择器class选择器标签选择器 ✨优先级&#xff1a;选择器的优先级样式表的优先级 ✨CSS的使用&#xff1a; 根据定义CSS的位置不同&#xff0c;分为行内样式、内部样式和外部样式 行内样…