巧用RTL原语实现MUX门级映射

对于前端设计人员,经常会需要一个MUX来对工作模式,数据路径进行明确(explicit)的声明,这个对于中后端工程师下约束也很重要。这里介绍一种巧用的RTL原语,实现MUX的方法。闲言少叙,ICerGo!
在这里插入图片描述

为了在最终网表里边实现确实的MUX,通常有两种方式。

  1. RTL designer采用了手动实例化(instance)工艺的MUX来实现MUX。但是对于RTL在不同工艺下使用,却造成了一些不便。
  2. 一种使用脚本替换的方法,即在综合elaboration的数据库上进行对标工艺的硬替换(replace),这样也需要一套脚本流程。
    上述方法可以实现MUX,但是灵活度不是很好,也需要额外的流程和代码量。这里看看DC的解决策略。

RTL原语

DC在做HDL分析,解析(analyze,elaboration)的时候,会对RTL里边的一些原语进行识别,譬如在verilog里边,可以这样使用:

verilog_code  //  synopsys RTL_primitive 

这里的primitive是一整套RTL配置,里边有一个功能就是可以对RTL的MUX功能进行有效映射。所以,通过这个RTL 原语,就可以实现设计出MUX的诉求。

带入RTL原语的elaborate

DC的综合阶段,首先是尝试把RTL的逻辑关系影射成为功能描述库,也就是我们常说的GTECH库。但是对于下列两种情况会做较特殊的处理

  • 使用case搭建的语句:DC 默认会处理成SELECT_OP类型
  • 沿触发/电平触发的时序逻辑语句:DC 默认会处理成SEQGEN类型 (这里暂不作展开)

对于SELECT_OP类型的逻辑转化,通常来自于类似下列的语句:
在这里插入图片描述
DC对于上述语句在elaborate阶段,将其转换成为了SELECT_OP,至于最终实现的器件类型,并不明确。
按照DC的规则:compile会根据实际的时序,面积等考虑,DC会决断把SELECT_OP实现为一个与或非逻辑或者是一个MUX逻辑。相对于RTL实例化一个MUX而言,这里就会带来一些不确定性。
基于此,通过对RTL进行原语级别的配置,让elaborate对case的结果进行约束。示例语法如下:
在这里插入图片描述

可以看到,这里的采用了infer_mux (infer:推断)的原语。这个原语可以指导DC对这里的case语句进行MUX_OP实现方式的推断,而非使用默认的SELECT_OP作替换。

在这里插入图片描述

通过上例可以看到,同样的代码,在使用infer_mux和不使用的情形下,DC的elaborate给出了不同的答案。

  • 不使用infer_mux,映射为SELECT_OP_4.1_4.1_1: 四输入一输出的组合逻辑结构
  • 使用infer_mux,映射为MUX_OP_4_2_1:四输入,二选择,一输出的MUX结构
    由于DC的compile/compile_ultra命令是基于elaborate的映射结果,所以,这里距离完全实现目标就剩compile一个步骤了。

被改善compile结果

Compile完成后,通过verdi打开,可以很方便的查验到结构图:
在这里插入图片描述
可以看到,DC工具在infer_mux的dout[0]通路上使用了一个四输入的MUX做了实现,但是在dout[1]的通路上选择的常规的与或非逻辑作实现。
DC这样做是有它的道理的,在本案例中,穿过dout[0]和dout[1]的时序都是满足的,这个时候DC会有先使用面积小的进行实现dout[1]逻辑,但是会依赖infer_mux的指引实现dout[0]上的逻辑:

  • dout[0]上的MUX4面积: 98.76
  • dout[1]的与或非逻辑面积:70.092
    所以,在原语的控制下,DC强制使用了MUX,而对于其他部分,DC其实是做了更为优化的选择,譬如这里的面积优先选择机制。

MUX树的创建

除过RTL代码保持平滑度的好处外,原语的另一个好处是可以让工具自动选择合适的MUX进行结果构建。譬如当前工艺库最大提供了四输入MUX,如果是一个较大扇入(fan-in)的MUX需求,DC工具可以友好的进行自动“拓展”,灵活构建一个MUX Tree 达到用户需要的结果
譬如下例:RTL书写了一个八输入MUX
在这里插入图片描述
elaborate后,DC将它正确识别成了:八输入,三控制,一输出的MUX:MUX_OP_8_3_1_1
工艺库并没有八输入这个大的MUX,这个时候如果使用手动构建会比较复杂。但是DC却可以做自动“拓展”,使用了两个MUX4外加一个MUX2,完成了这个MUX tree的构建,
compile完成后,通过查看网表可以看到,这里最终是一个MUX tree来实现这个八输入MUX,见下图:
在这里插入图片描述
可以看到,DC先是放了两个平行的四输入的MUX进行选择,然后进入到一个两输入的MUX做最后的决断。

作为比对,这里的dout[4],并未采用infer_mux 作实现,可以看到DC使用了常规的与或非结构进行了实现
在这里插入图片描述
使用RTL原语的方法,对于设计人员的工作量大为减少,并且可以不依赖工艺库,DC工具甚至可以自动构建MUX tree,达到了事半功倍的效果。

【敲黑板划重点】

在这里插入图片描述
RTL原语是DC对于RTL的一个特殊指令,通过这套系统可以对elaborate进行显性(explicity )的干预,设计人员可以灵活使用,将设计理念完整的传递到中后端。

参考资料

Synopsys Design Compiler® User Guide

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/218575.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

如何退回chrome旧版ui界面?关闭Chrome浏览器新 UI 界面

之前启用新UI的方式 Chrome 已经很久没有进行过大的样式修改,但近期在稳定分支中添加了新的 flags 实验性标志,带来了全新的设计与外观,启用方式如下: 在 Chrome 浏览器的搜索栏中输入并访问 chrome://flags 搜索“refresh 2023…

西南科技大学数字电子技术实验六(智力竞赛抢答器的设计及FPGA实现)预习报告

一、计算/设计过程 说明:本实验是验证性实验,计算预测验证结果。是设计性实验一定要从系统指标计算出元件参数过程,越详细越好。用公式输入法完成相关公式内容,不得贴手写图片。(注意:从抽象公式直接得出结…

彻底解决公网ip无法访问服务器的问题

用服务器的公网ip访问突然提示页面无法访问了,之前还是ok的: 解决方案: 步骤1. 检查云服务器的安全组规则是否有添加80端口映射,如果没有需要手动添加,否则不能使用公网访问,检查了一下是有的&#xff1…

陵园殡仪馆网站建设的效果如何

陵园墓地的需求度众多周知非常高,无论墓地坑位咨询还是事项/环境展示、资料预览等都是常见事项,由于行业的特殊性,对正常客户来说,并不会知悉各个事项、价格、服务、流程等内容。 而对企业来说,也有获客、品牌扩张等需…

TCP/IP详解——网络基本概念

文章目录 一、网络基本概念1. OSI 7层模型1.1 每层对应的协议1.2 每层涉及的设备1.2.1 物理层设备1.2.2 数据链路层设备1.2.3 网络层设备1.2.4 传输层设备1.2.5 交换机和路由器的应用1.2.6 问题 2. TCP/IP 4层模型3. 物理层传输介质3.1 冲突域 4. 数据链路层4.1 以太网帧结构4.…

GPT-4V 在保险行业的应用

在科技的进步中,人工智能与大数据技术的结合产生了巨大的能量,推动了各行各业的创新与变革。OpenAI,作为全球领先的人工智能研发机构,在今年的9月25日,以一种崭新的方式,升级了其旗下的GPT-4模型。这次的升…

HNU计算机视觉作业一

前言 选修的是蔡mj老师的计算机视觉,上课还是不错的,但是OpenCV可能需要自己学才能完整把作业写出来。由于没有认真学,这门课最后混了80多分,所以下面作业解题过程均为自己写的,并不是标准答案,仅供参考 …

LeetCode力扣每日一题(Java):58、最后一个单词的长度

一、题目 二、解题思路 1、我的思路 先将字符串转换成字符数组 由于我们需要获取最后一个单词的长度,所以我们从后往前遍历字符数组 我们还需判断所遍历的字符是不是字母,即判断每个字符对应的ASCII值即可,用计数器count来储存单词长度 …

1分钟让你快速了解私域运营流量增长底层逻辑!

私域运营说的直白一点,其实就是将用户引入到私域矩阵中,持续性的付费转化,维护好老顾客的同时,并通过他们分享裂变,低成本吸引新客户,再用不同的营销玩法,将新客户转化为老客户的一系列过程。

使用HTTP协议在Linux上进行API调用

在Linux系统上使用HTTP协议进行API调用是一种常见的操作,有时候我们需要调用一些外部API来获取数据或者进行自动化操作。下面是一个使用HTTP协议在Linux上进行API调用的代码示例,希望能够帮助你更好地理解这个过程。 首先,我们需要使用curl命…

【同步FIFO_2023.12.13】

同步fifo,写时钟和读时钟为同一个时钟,用于交互数据缓冲 fifo的深度:同一块数据内存的大小 reg [2:0] Mem [8];//宽度3,深度8典型同步fifo的三部分 fifo写控制逻辑:写地址、写有效信号,fifo写满、写错等状…

金数据企业版:广告推广效率提升的关键,无代码API集成与连接技术

深入理解无代码开发与API集成的重要性 在当今的电商竞争环境下,企业必须寻找提高效率和灵活性的办法。无代码开发平台,如金数据,提供了一种创新的方式来应对快速变化的市场需求,特别是在API集成方面。无代码开发意味着企业可以通…

减持股份对股票的影响,好还是不好?

减持股份对股票是好还是不好?这是一个很多投资者都关心的问题,因为减持股份会影响股票的价格、流动性和信心。减持股份的原因和影响是多方面的,没有一个简单的答案,需要根据具体的情况进行分析。下面,我将从几个方面来…

云渲染怎么提升效果图的画质?云渲染对效果图未来影响

在当今高速发展的视觉设计行业中,高质量的效果图不仅是展示设计成果的重要手段,也是设计沟通和营销的关键。无论是建筑设计、室内设计还是工业样品的视觉化,效果图的精细程度与渲染速度对项目的成功至关重要。对于许多追求卓越和效率的设计师…

苹果电脑Python编辑开发软件pycharm pro 2023功能介绍

PyCharm Pro 2023是由JetBrains开发的一款专为Python开发者设计的跨平台集成开发环境(IDE)。它提供了丰富的功能和直观的用户界面,旨在提高在Mac平台上进行Python编程的效率。 PyCharm Pro 2023是PyCharm系列中的专业版,具有更多高…

一篇很不错的小作文:读“战争与和平” 有感、识之

如果一个人不读书,就会像没了水的鱼,毫无生存的希望。 我最近在看一本名叫《战争与和平》的书,书中的一句话让我印象深刻:“战争是残酷的,它带来了破坏和死亡,但和平却是美好的,它给予我们希望和…

【Android】完美解决安卓报错Module entity with name: xxx should be available、No module问题

问题截图: 解决方法: 找到settiings.gradle文件,修改文件名称,比较项目名大小写,更改之后,果然解决了

【C语言】操作符详解(二)

目录 移位操作符 左移操作符 右移操作符 位操作符:&、|、^、~ 一道面试题 移位操作符 <<左移操作符 >>右移操作符注:移位…

智能优化算法应用:基于布谷鸟算法3D无线传感器网络(WSN)覆盖优化 - 附代码

智能优化算法应用&#xff1a;基于布谷鸟算法3D无线传感器网络(WSN)覆盖优化 - 附代码 文章目录 智能优化算法应用&#xff1a;基于布谷鸟算法3D无线传感器网络(WSN)覆盖优化 - 附代码1.无线传感网络节点模型2.覆盖数学模型及分析3.布谷鸟算法4.实验参数设定5.算法结果6.参考文…

点评项目——用户签到模块

2023.12.13 通常app为了吸引用户每天登陆app&#xff0c;会设定一个签到模块&#xff0c;本章就来实现用户签到模块&#xff0c;包含签到功能和签到统计功能。 BitMap用法 通常使用二进制位来记录每个月的签到情况&#xff0c;签到记录为1&#xff0c;未签到记录为0。每一个bi…