课题学习(十五)----阅读《测斜仪旋转姿态测量信号处理方法》论文

一、 论文内容

1.1 摘要

为准确测量旋转钻井时的钻具姿态,提出了一种新的信号处理方法。测斜仪旋转时,垂直于其旋转轴方向加速度计的输出信号中重力加速度信号分量具有周期性特征,以及非周期性离心加速度分量频率低于重力加速度信号分量频率的特征,用高通或带通滤波将非周期性离心加速度分量分离;对于常规滤波方法无法滤除的通频带内振动信号,增加一个对置加速度计,采取不同隔振措施补偿振动信号,滤除通频带内的振动信号。仿真分析表明,测斜仪旋转时离心力作用所导致的测斜仪加速度信息失真可以被高通或带通滤波器有效滤除;与旋转频率耦合的一个振动信号可以分解为2个频率的振动信号;采取不同隔振措施的对置加速度计,可以对振动信号进行补偿,滤除通频带内的振动信号。台架试验也证实,增加一个对置加速度计,并采取隔振措施棳可以补偿振动信号,滤除通频带内的振动信号。该处理方法为准确计算井斜角和工具面角等钻具姿态参数提供了技术手段。

1.2 加速度计的动态输出信号

   原论文中的测斜仪测斜原理部分就不再赘述,直接从下文开始。
  当加速度计静置时,输出信号基本为重力加速度信号;而当存在振动或因旋转产生离心力时,加速度计的输出信号中便混合了振动或离心加速度信号,即: s f = s g + s v + s e s_f=s_g+s_v+s_e sf=sg+sv+se
  上式中, s g s_g sg为重力加速度信号, s v s_v sv为振动加速度信号, s e s_e se为离心加速度信号。

1.3 重力加速度分量的提取方法

1.3.1 去除离心加速度

  井斜角传感器的三轴加速度计输出信号为:
s x = s g x + s v x + s e x s_x=s_{gx}+s_{vx}+s_{ex} sx=sgx+svx+sex s y = s g y + s v y + s e y s_y=s_{gy}+s_{vy}+s_{ey} sy=sgy+svy+sey s z = s g z + s v z + s e z s_z=s_{gz}+s_{vz}+s_{ez} sz=sgz+svz+sez
   s x , s y , s z 分别是 s_x,s_y,s_z分别是 sx,sy,sz分别是 x , y , z x,y,z x,y,z加速度计的输出信号。
  当井斜角传感器随钻柱旋转时,如图所示,如果z轴平行于钻柱旋转
轴线,则在轴方向不存在离心力,即 e z = 0 e_z=0 ez=0。此时,z轴方向重力分量不随转动改变,如果井斜角固定,其为常值,而z轴方向振动加速度可通过低通滤波滤除,于是有 s ˉ z = s g z \bar{s}_z=s_{gz} sˉz=sgz其中 s ˉ z \bar{s}_z sˉz为z轴加速度计低通滤波后输出信号。因为重力加速度已知,此时以静态测量时g的统计值为准,可以用下式计算井斜角: c o s α = s ˉ z g cos \alpha = \frac{\bar{s}_z}{g} cosα=gsˉz
  设 g x y g_{xy} gxy为重力加速度在xy平面内分量,则有: g x = − g x y c o s θ g_x=-g_{xy}cos\theta gx=gxycosθ g y = − g x y s i n θ g_y=-g_{xy}sin\theta gy=gxysinθ
  旋转钻进时,工具面角因旋转而发生周期变化,因此由上式可知,x,y轴重力加速度分量也随之周期变化,其角频率与旋转角速度有关。因此,该情况下仅采用低通滤波并不能得到真实的重力加速度分量。
  钻柱匀速旋转时,离心加速度为常值,x、y轴重力加速度分量为周期信号,因此可用一个高通滤波器滤去直流成分,将离心加速度分量去除。于是有 :
s x ′ = s v x − s g x y c o s θ s_x' = s_{v_x}-s_{g_{xy}}cos\theta sx=svxsgxycosθ s y ′ = s v y − s g x y s i n θ s_y' = s_{v_y}-s_{g_{xy}}sin\theta sy=svysgxysinθ

1.3.2 去除振动加速度

  不同于钻柱静止或非旋转状态,钻柱旋转时,x、y轴上的振动信号分量耦合了旋转角度的变化。设 v x y v_{xy} vxy为振动加速度在xy平面内分量, v x y v_{xy} vxy相对于高边的夹角为 ϕ \phi ϕ ω v \omega_v ωv为振动角频率,则有: v x = v x y s i n ( w v t + β ) c o s ( θ − ϕ ) v_x=v_{xy}sin(w_vt+\beta)cos(\theta-\phi) vx=vxysin(wvt+β)cos(θϕ) v y = v x y s i n ( w v t + β ) s i n ( θ − ϕ ) v_y=v_{xy}sin(w_vt+\beta)sin(\theta-\phi) vy=vxysin(wvt+β)sin(θϕ)
  上式表明,一个周期振动信号在x、y轴上分量的幅值随钻柱旋转角度呈周期变化。设旋转角速度为 ω r \omega_r ωr,则有 θ = ω r t + θ 0 \theta=\omega_rt+\theta_0 θ=ωrt+θ0其中 θ 0 \theta_0 θ0为t=0时刻的工具面角,可做如下变形 :
在这里插入图片描述
  上式表明,在钻柱旋转时,一个周期振动信号在x、y轴上的分量,耦合了旋转角频率,可分别用2个不同频率、不同相位的半幅值周期振动信号等效 。
  如果振动频率远大于钻柱旋转角速度( ω v ≥ 3 ω r \omega_v≥3\omega_r ωv3ωr),则振动信号所等效分解的2个分量的频率均远离重力加速度分量的变化频率,因此可以采,用合适的滤波器滤除振动信号。
  当 ω v ≈ 2 ω r \omega_v≈2\omega_r ωv2ωr,即振动信号的角频率接近钻柱旋转角频率的2倍时,振动信号中的低频率分量的角频率将接近旋转角速度,会对重力加
速度分量造成严重干扰,而且采用常规滤波方法很难滤除这种干扰。
  当 ω v ≈ ω r \omega_v≈\omega_r ωvωr时,振动信号低频分量接近于0,高频分,接近于 2 ω r 2\omega_r 2ωr,此时振动信号可以被有效滤除。
  由于旋转角速度较低,也就是说x、y轴方向重力加速度分量的变化频率较低(一般不超过4Hz),则 2 ω r + B 2\omega_r+B 2ωr+B以下的振动信号,由于低频分量角频率( ω v − ω r \omega_v-\omega_r ωvωr)与旋转角速度的绝对差值较小,一般难于完全滤除,而且振动信号的频率分量越接近旋转角速度,越难以滤除。此时用滤波后信号计算工具面角或井斜角会有较大误差。
  解决该问题的有效方法是椇增加1个加速度传感器与x或y轴背对放置,并对其采取隔振措施,使其对振动的敏感强度与对称轴有明显不同。例如与轴背对放置第4个加速度计-x,则其输出信号 s − x = s − g x − k s v x + s e x s_{-x}=s_{-g{x}}-ks_{v{x}}+s_{e{x}} sx=sgxksvx+sex,其中k为系数,于是有 s v x = ( s x + s − x − 2 s e x ) / ( 1 − k ) s_{v{x}}=(s_x+s_{-x}-2s_{e{x}})/(1-k) svx=(sx+sx2sex)/(1k)
  高通滤波器可将 s e x s_{e{x}} sex滤除,因此可写成 s v x = ( s x ′ + s − x ′ ) / ( 1 − k ) s_{v{x}}=(s_x'+s_{-x}')/(1-k) svx=(sx+sx)/(1k)
  现在问题的关键是确定系数k。测斜仪不旋转时, s g x s_{g{x}} sgx为常数, s e x s_{e{x}} sex为0,因此k可由下式求得 k = − s x ′ / s − x ′ k=-s_x'/s_{-x}' k=sx/sx
  注意,本论文没有讨论电路以及采样中的白噪声,因为白噪声可以通过低通或者电筒滤波器。所以一般在进行数据处理前,均需要进行低通或者带通滤波器处理。
  此外棳在进行振动信号补偿分析时,假定2个传感器的离心加速度大小相同,方向相反。事实上,由于装配等其他原因,2个传感器的离心加速度不一
定大小相同,方向相反。由于通常情况下,旋转钻进时,钻柱近似匀速旋转,离心加速度近似恒定,可利用高通或带通滤波器滤除,上文给出的方法仍然有效。

1.3 仿真及实验验证

在这里插入图片描述

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

二、往期回顾

课题学习(一)----静态测量
课题学习(二)----倾角和方位角的动态测量方法(基于磁场的测量系统)
课题学习(三)----倾角和方位角的动态测量方法(基于陀螺仪的测量系统)
课题学习(四)----四元数解法
课题学习(五)----阅读论文《抗差自适应滤波的导向钻具动态姿态测量方法》
课题学习(六)----安装误差校准、实验方法
课题学习(七)----粘滑运动的动态算法
课题学习(八)----卡尔曼滤波动态求解倾角、方位角
课题学习(九)----阅读《导向钻井工具姿态动态测量的自适应滤波方法》论文笔记
课题学习(十)----阅读《基于数据融合的近钻头井眼轨迹参数动态测量方法》论文笔记
课题学习(十一)----阅读《Attitude Determination with Magnetometers and Accelerometers to Use in Satellite》
课题学习(十二)----阅读《Extension of a Two-Step Calibration Methodology to Include Nonorthogonal Sensor Axes》
课题学习(十三)----阅读《Calibration of Strapdown Magnetometers in Magnetic Field Domain》论文笔记
课题学习(十四)----三轴加速度计+三轴陀螺仪传感器-ICM20602

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/203439.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

三、jvm中的对象及引用

一、对象在jvm的创建过程 检查加载-->分配内存-->内存空间初始化-->设置-->对象初始化 1) 检查加载 首先检查这个指令的参数是否能在常量池中定位到一个类的符号引用,并且检查类是否已经被加载、解析和初始化过。 虚拟机遇到一条 new 指令时&#xf…

mybatis的分页插件

在mybatis核心配置文件中&#xff1a; 这时已经用了SSM整合&#xff0c;好多像是mapper或者数据源等都移出去了 <?xml version"1.0" encoding"UTF-8" ?> <!DOCTYPE configurationPUBLIC "-//mybatis.org//DTD Config 3.0//EN""…

Zabbix自定义飞书webhook告警媒介2

说明:适用于7.0及以上版本,低版本可能会有问题。 参数如下: 名称 值EVENT.DURATION{EVENT.DURATION}EVENTDATE

当使用RSA加密,从手机前端到服务器后端的请求数据存在+

将转成了空格&#xff0c;导致解密出错 将空格转成了

Unity中Batching优化的GPU实例化(1)

文章目录 前言一、GPU实例化的规则1、必须满足 Mesh 网格一样2、只有OpenGL es 3.0及以上才支持&#xff08;3.0及以上有部分硬件可能也不支持&#xff09; 二、GPU实例化的应用场景1、公开几个成员属性&#xff0c;用于存放可以调整的数据2、用Random.insideUnitCircle随机生成…

AWS re:Invent 2023-亚马逊云科技全球年度技术盛会

一:会议地址 2023 re:Invent 全球大会主题演讲 - 亚马逊云科技从基础设施和人工智能/机器学习创新,到云计算领域的最新趋势与突破,倾听亚马逊云科技领导者谈论他们最关心的方面。https://webinar.amazoncloud.cn/reInvent2023/keynotes.html北京时间2023年12月1日00:30-02:…

VSCode之C++ CUDA入门:reduce的N+1重境界

背景 Reduce是几乎所有多线程技术的基础和关键&#xff0c;同样也是诸如深度学习等领域的核心&#xff0c;简单如卷积运算&#xff0c;复杂如梯度聚合、分布式训练等&#xff0c;了解CUDA实现reduce&#xff0c;以及优化reduce是理解CUDA软硬件连接点的很好切入点。 硬件环境&…

HarmonyOS4.0从零开始的开发教程03初识ArkTS开发语言(中)

HarmonyOS&#xff08;二&#xff09;初识ArkTS开发语言&#xff08;中&#xff09;之TypeScript入门 浅析ArkTS的起源和演进 1 引言 Mozilla创造了JS&#xff0c;Microsoft创建了TS&#xff0c;Huawei进一步推出了ArkTS。 从最初的基础的逻辑交互能力&#xff0c;到具备类…

http和https的区别有哪些

目录 HTTP&#xff08;HyperText Transfer Protocol&#xff09; HTTPS&#xff08;HyperText Transfer Protocol Secure&#xff09; 区别与优势 应用场景 未来趋势 当我们浏览互联网时&#xff0c;我们经常听到两个常用的协议&#xff1a;HTTP&#xff08;HyperText Tra…

Excel 动态拼接表头实现导出

public class Column {//单元格内容private String content;//字段名称&#xff0c;用户导出表格时反射调用private String fieldName;//这个单元格的集合private List<Column> listTpamscolumn new ArrayList<Column>();int totalRow;int totalCol;int row;//exc…

易宝OA 两处任意文件上传漏洞复现

0x01 产品简介 易宝OA系统是一种专门为企业和机构的日常办公工作提供服务的综合性软件平台,具有信息管理、 流程管理 、知识管理(档案和业务管理)、协同办公等多种功能。 0x02 漏洞概述 易宝OA系统UploadFile、BasicService.asmx等接口处存在文件上传漏洞,未授权的攻击者可…

记录 | vscode pyhton c++调试launch.json配置

下面提供 vscode 中 python 和 c 调试配置的 launch.json (好用&#xff0c;已用好几年&#xff0c;建议收藏) {// 使用 IntelliSense 了解相关属性。 // 悬停以查看现有属性的描述。// 欲了解更多信息&#xff0c;请访问: https://go.microsoft.com/fwlink/?linkid830387&qu…

【Spring】依赖注入之属性注入详解

前言&#xff1a; 我们在进行web开发时&#xff0c;基本上一个接口对应一个实现类&#xff0c;比如IOrderService接口对应一个OrderServiceImpl实现类&#xff0c;给OrderServiceImpl标注Service注解后&#xff0c;Spring在启动时就会将其注册成bean进行统一管理。在Co…

WireShark监控浏览器登录过程网络请求

软件开发中经常前后端扯皮。一种是用Chrome浏览器的开发者工具 来看网络交互&#xff0c;但是前提是 网络端口的确是通的。 WireShark工作在更低层。 这个工具最大的好处&#xff0c;大家别扯皮&#xff0c;看网络底层的log&#xff0c;到底 你的端口开没开&#xff0c; 数据…

计算机图形图像技术(OpenCV核心功能、图像变换与图像平滑处理)

一、实验原理&#xff1a; 1、显示图像 void imshow(const string &name, InputArray image); ①功能&#xff1a;在指定窗口中显示图像。 ②参数&#xff1a;name为窗口的名字&#xff1b;image为待显示的图像。 ③说明&#xff1a;可显示彩色或灰度的字节图像和浮点数图…

Threejs项目实战之一:汽车外观换肤效果三维展示

目录 最终效果1 创建项目2 安装插件3 编写代码3.1 准备工作3.2 代码编写3.2.1 在template标签中构建html页面3.2.2 在style标签中构建页面样式文件3.2.3 在script标签中编写js代码 最终效果 先看下最终实现的效果 接下来&#xff0c;我们就从创建项目开始&#xff0c;一步一步…

自主并不等于智能

自主不等于智能&#xff0c;也不是自动化的简单升级。自主性和智能性是两个不同的概念。自主性指物体或系统具有独立决策和行动的能力&#xff0c;不需要人为干预。而智能性指物体或系统具有类似人类的智慧、学习和适应能力。 虽然自主性通常与智能性相关&#xff0c;但并非所有…

李宏毅bert记录

一、自监督学习&#xff08;Self-supervised Learning&#xff09; 在监督学习中&#xff0c;模型的输入为x&#xff0c;若期望输出是y&#xff0c;则在训练的时候需要给模型的期望输出y以判断其误差——有输入和输出标签才能训练监督学习的模型。 自监督学习在没有标注的训练…

【后端】JVM 远程调试

前言 再好的代码,也还是有瑕疵的,不是代码不给力,是线上问题太牛逼太玄幻。这不刚部署就出现了问题,幸好还是测试的时候,早点发现早点解决,不给任何人带来不必要的损失,是我做人的原则,只要钱到位,任何问题都不是问题。 JVM 远程调试 不得不说 IDEA 和 宝塔配合是真…

工厂方法设计模式项目实践

前言 以采集数据处理逻辑为例&#xff0c;数据采集分为不同种类如&#xff1a;MQTT、MODBUS、HTTP等&#xff0c;不同的采集数据有不同的解析处理逻辑。但总体解析处理步骤是固定的。可以使用工厂方法设计模式简化代码&#xff0c;让代码变得更加优雅。 代码实战 抽象类 总体…