UVM项目笔记——通过寄存器模型实现AHB接口的寄存器读写(内含源码)

目录

1.前言

2.DRIVER实现

2.1 AHB二级流水时序

2.2 “队列错位法”实现driver

2.3 driver代码

2.4 仿真log与波形

2.5 多级流水拓展方法


1.前言

UVM driver在接口协议的实现中起着非常重要的作用,因为它一端处理基于类的事务级sequence,另一端处理基于时钟的信号/引脚级的总线行为。因此,如何实现 UVM driver及其与sequence的同步对于 DUT 和 UVM 环境之间的交互以及避免 UVM driver和sequence之间的任何死锁情况都是至关重要的。

而UVM reg model则提供了强大的前/后门访问寄存器的方式以便于对寄存器进行高效地配置和读取,主要是通过UVM源码中所提供的uvm_reg_map::do_bus_read、uvm_reg_map::do_bus_write方法实现,该方法的实现依赖于sequencer和adapter这2个组件。

其中adpter完全处理基于类的事务级sequence,它能够将uvm_reg_item类和uvm_sequence_item类做相互转译。通过reg2bus方法将寄存器模型能够读懂的uvm_reg_bus_op翻译为总线bus_item事务级sequence,如源码第2009行,调用adapter.reg2bus(rw_access),这一步相当于adapter充当了sequence产生bus_req transaction。

由于环境中指定了寄存器模型使用的sequencer,因此源码中第2014行将bus_req transaction交给该sequencer,随后调用start_item(),finish_item(),从而完成sequencer对sequence的仲裁及传输,确保driver能够井然有序地拿到这些transaction。

环境中打开了auto_predict功能,因此寄存器模型会根据driver返回的读取值,更新寄存器的期望值和镜像值。因此driver中要完成对读写寄存器的反馈逻辑,这一部分通常都是通过driver中的seq_item_port.item_done(bus_req)来完成的,前提是未使用adapter.provides_responses功能,在低速、简单的寄存器操作接口比如I2C、SPI、APB等,这种方式较为常见,因为对寄存器的操作不会涉及到复杂的总线行为,driver只要按顺序调用seq_item_port.get_next_item(bus_req)从sequencer拿到sequence,再将bus_req按照时序驱动到总线上,随后按顺序调用seq_item_port.item_done(bus_req)即可,这样我们是可以直接把返回信息通过req返回的。

但对于复杂的总线协议,例如AHB、AXI等,driver就必须要用put_response(bus_rsp)来返回信息。比如AHB时序中,因为读数据有可能在多拍之后才能从总线上获取,此时master早已经将发送了下一笔transaction,如果采用bus_req来返回信息,那么driver没办法模拟真实的总线行为,不能完成诸如burst类型的传输,此时必须要开启adapter.provides_responses功能。从源码第2024~2030行可以看出,一旦开启该功能,adapter的bus2reg方法会将bus_rsp而非bus_req转译为uvm_reg_bus_op类型,从而使得寄存器模型能够根据读数据正确地更新镜像值和期望值的同时,driver还能模拟真实的AHB总线行为。

本文就是从UVM的源码do_bus_read/do_bus_write出发,采用adapter.provides_responses()功能,结合rm.default_map.set_auto_predict(1)方法,通过reg_model->adapter->sequencer->driver这样的通路,实现了通过寄存器模型读写,产生AHB时序的pin级接口时序的寄存器操作接口方法。

本文将分为几个部分,分别阐述reg_model,sequence,adapter,driver的具体实现方式。

具体的环境架构如下:

2.DRIVER实现

2.1 AHB二级流水时序

在流水线总线协议中,数据传输被分解为两个或多个不同的阶段,这些阶段一个接一个地执行。通常,这些相位涉及总线上不同的信号集。以二级流水为例,driver要驱动的时序如上图。

2.2 “队列错位法”实现driver

要实现driver驱动二级流水,可以巧妙利用队列错位的方式实现。具体实现的流程图如下:

主程序主要由2个forever线程构成:

其中thread1:seq_item_port.try_next_item(req)采用非阻塞的方式从seq不断地得到数据包,得到非null数据包后将其装入队列中,因为seq产生的数据包其地址和控制信号以及写数据信号全部同相位,因此drv从seq得到的数据包其地址相和数据相是对齐的,需要拆包后分离其地址相和数据相,并且将地址和控制信号装入一个队列,数据相单独装入另一个队列。同时要将得到的req打上标签(set_id_info),克隆为rsp,用于反馈寄存器模型读数据hrdata,如果是写操作,当拍反馈rsp(即调用seq_item_port.put_response(rsp)函数),如果是读操作,需要等到vif上正确的hrdata到来后,修改rsp.hrdata,随后反馈rsp。因此,一笔由寄存器发起的read操作,最快也要2拍才能完成(hready为高时)。

thread2:drv_pkt_item(req)负责将得到的数据包按流水线的规则以及HREADY信号的高低发出,并采集读数据hrdata。当该线程被触发后,会根据3种情况判断走不同的分支:

  1. 当前trans是否为第一笔传输;
  2. 当前trans是否为一系列传输的中间传输;
  3. 当前trans是否为一系列传输的最后一笔传输;

如果为第一笔传输,则将其地址和控制相发送至总线上,数据相不发。此刻就完成了地址相和数据相的错位操作。同时将sop_cnt从1改为2,用于标记非第一笔trans。

如果为中间传输或者是最后一笔传输,则根据HREADY信号的高低将错位后的地址和控制相一并发送至总线上。

当数据队列的size>0并且地址队列的size=0时表示此时只剩下HWDATA信号没有驱动,即为最后一笔传输,只需要将写数据hwdata驱动至总线上即可,并且将sop_cnt从2改为1,用于标记下次传输为第一笔trans。

2.3 driver代码

driver的具体实现代码如下:

1.宏定义:

2.driver class:class中定义了成员变量和方法

3.main_phase:在main phase中,有2个forever进程get_pkt_item(got_pkt);drv_pkt_item();;在begin end中顺序执行。

4. thread1: 在get_pkt_item进程中,第一步,首先driver采用try_get非阻塞方法,反复从seqr获取数据,每当得到一笔非空数据包req,利用clone函数将其深复制为rsp,随后采用set_id_info方法将rsp打上标签,放入rsp_q队列中,这一步是为了将每一笔得到的数据反馈给seqr或是寄存器模型的read/write方法。第二步,将数据包拆包,其地址和控制相放入队列haddr_hctrl_q中,同时识别当前数据包是读还是写,如果是写操作,则将其写数据放入数据相队列hwdata_q中,同时立刻返还rsp(调用seq_item_port.put_response),如果是读操作,暂时不返还rsp。上述过程重复执行共2次,队列中最多存放2笔未完成的数据。

5. thread2:在drv_pkt_item()进程中,根据sop_cnt、haddr_hctrl_q队列和hwdata_q队列的size大小来识别当前trans属于第几笔传输,在第一笔传输时,只需将地址和控制相输出至总线上,在随后的传输过程中,根据HREADY信号高低决定地址控制相保留还是更新。在最后一笔传输时,只将数据相传输至总线上,并将hsel拉低。

在thread2的整个过程中,若在地址相发现为有效的读操作,则在数据相将读数据从总线上取得,并更改rsp中的hrdata,随后put_response返回给寄存器 第163~168行的代码对应UVM源码中的2028行此时若不返回rsp,则会造成do_bus_read和driver之间的死锁,仿真会在执行源码的2028行时卡死

7.完整代码:

/*
--==============================================================
-- File name      : reg_cfg_driver.sv
-- Author           : IC_SH

-- Date             : Sat Jan  7 10:36:57 CST 2023

-- Abstract         : description of this reg_cfg_driver.sv
--==============================================================
*/
`ifndef _reg_cfg_driver_sv_
`define _reg_cfg_driver_sv_

`define _HREADY hready_m
`define _HRDATA hrdata

`define haddr_hctrl_drv2bus(_HSEL,_HTRANS,_HSIZE,_HWRITE,_HADDR) \
    vif.``_HSEL``   <= temp_haddr_hctrl.``_HSEL``  ; \
    vif.``_HTRANS`` <= temp_haddr_hctrl.``_HTRANS``; \
    vif.``_HSIZE``  <= temp_haddr_hctrl.``_HSIZE`` ; \
    vif.``_HWRITE`` <= temp_haddr_hctrl.``_HWRITE``; \
    vif.``_HADDR``  <= temp_haddr_hctrl.``_HADDR`` ; \

`define hwdata_drv2bus(_HWDATA) \
    vif.``_HWDATA`` <= temp_hwdata; \

`define sample_rdata_func(_HWRITE) \
    this.got_rsp_tmp = this.got_rsp_q.pop_front(); \
    if(this.got_rsp_tmp.``_HWRITE`` == 'h0)begin \
        this.sample_rdata = 1; \
    end \

typedef class reg_cfg_driver;

virtual class reg_cfg_driver_cb extends uvm_callback;//drv callback
    virtual task before_drv_item(reg_cfg_driver drv,reg_cfg_drv_pkt pkt);
    endtask:before_drv_item

    virtual task after_drv_item(reg_cfg_driver drv,reg_cfg_drv_pkt pkt);
    endtask:after_drv_item

    function new(string name = "reg_cfg_driver_cb");
        super.new(name);
    endfunction:new

endclass:reg_cfg_driver_cb

class reg_cfg_driver extends uvm_driver #(reg_cfg_drv_pkt);
    int                      sop_cnt             ;
    uvm_event                reg_cfg_drv_finished;//used for ctrl next seq in vseq 
    virtual reg_cfg_if       vif                 ;//used for data transferring from driver to DUT
    bit                      sample_rdata        ;
    reg_cfg_config           cfg                 ;
    reg_cfg_drv_pkt          got_pkt             ;//pkt that got from seq
    reg_cfg_drv_pkt          got_rsp_q[$]        ;//pkt that got from seq
    reg_cfg_drv_pkt          got_rsp             ;//pkt that got from seq
    reg_cfg_drv_pkt          got_rsp_tmp         ;//pkt that got from seq
    reg_cfg_drv_pkt          haddr_hctrl_q[$]    ;//addr and ctrl signal queue
    logic             [31:0] hwdata_q[$]         ;//wdata queue

    reg_cfg_drv_pkt          temp_haddr_hctrl    ;
    logic             [31:0] temp_hwdata         ;

    `uvm_component_utils_begin(reg_cfg_driver)
        `uvm_field_object(cfg,UVM_ALL_ON)
        `uvm_field_object(got_pkt,UVM_ALL_ON)
        `uvm_field_object(got_rsp,UVM_ALL_ON)
        `uvm_field_object(haddr_hctrl_q[$],UVM_ALL_ON)
        `uvm_field_object(temp_haddr_hctrl,UVM_ALL_ON)
    `uvm_component_utils_end

    `uvm_register_cb(reg_cfg_driver,reg_cfg_driver_cb)


    function new(string name = "reg_cfg_driver",uvm_component parent);
        super.new(name,parent);
    endfunction:new

    function void build_phase(uvm_phase phase);
        super.build_phase(phase);
        if (!uvm_config_db #(virtual reg_cfg_if) :: get(this,"","vif",vif)) begin
            `uvm_fatal("NOVIF",{"virtual interface must be set for:",get_full_name(),".vif"})
        end
        if (!uvm_config_db #(reg_cfg_config) :: get(this,"","cfg",cfg)) begin
            `uvm_fatal("NOCFG",{"reg_cfg_config must be set for:",get_full_name(),".cfg"})
        end
        reg_cfg_drv_finished = uvm_event_pool::get_global("reg_cfg_drv_finished");
    endfunction:build_phase

    task reset_phase(uvm_phase phase);
        super.reset_phase(phase);
        reset_process();
    endtask:reset_phase

    extern virtual task main_phase(uvm_phase phase);
    extern virtual function void report_phase(uvm_phase phase);
    extern virtual task reset_process();
    extern virtual task get_pkt_item(reg_cfg_drv_pkt got_pkt);//get pkt from seq
    extern virtual task drv_pkt_item();//drive pkt to AHB bus
    extern virtual task delay_n_cyc(int N);
endclass:reg_cfg_driver

task reg_cfg_driver::main_phase(uvm_phase phase);
    process job_id;
    super.main_phase(phase);
    wait(vif.rstn === 1); // wait reset end,rst is low active
    forever begin
        fork
            begin
                job_id = process::self();
                forever begin
                    get_pkt_item(got_pkt);//forever get_pkt loop
                    drv_pkt_item();//forever drv_pkt loop
                    delay_n_cyc(1);
                end
            end
            begin
                wait(vif.rstn === 0); //detected reset
            end
        join_any //only wait rst could finish
        //kill other threads and wait reset end
        if (job_id != null) begin
            job_id.kill();
        end
        reset_process();
        if (got_pkt != null) begin
            seq_item_port.item_done();
            got_pkt = null;
        end
        wait (vif.rstn === 1);
        repeat (10) @(posedge vif.clk);
    end // forever begin
endtask:main_phase

task reg_cfg_driver::get_pkt_item(reg_cfg_drv_pkt got_pkt);
    repeat(2)begin
        if(hwdata_q.size() < 2)begin
            seq_item_port.try_next_item(got_pkt);
            //seq_item_port.get_next_item(got_pkt);
            if(got_pkt != null)begin
                $cast(this.got_rsp,got_pkt.clone());
                this.got_rsp.set_id_info(got_pkt);
                this.got_rsp_q.push_back(this.got_rsp);

                haddr_hctrl_q.push_back(got_pkt);
                if(got_pkt.hwrite == 1'b1)begin//only recieve the hwdata when hwrite == 1'b1
                    hwdata_q.push_back(got_pkt.hwdata);
                end else begin
                    hwdata_q.push_back('h0);
                end
                `uvm_info("REG_CFG_DRV",$sformatf("thread1: get pkt seq! haddr_hctrl_q.size() = %0d, hwdata_q.size() = %0d", haddr_hctrl_q.size(), hwdata_q.size()),UVM_HIGH)
                seq_item_port.item_done();
                if(this.got_rsp.hwrite == 1)begin
                    seq_item_port.put_response(this.got_rsp);
                end
                got_pkt=null;        
            end
        end
    end
endtask:get_pkt_item

task reg_cfg_driver::drv_pkt_item();
    if(this.sample_rdata == 1)begin
        this.got_rsp_tmp.`_HRDATA = vif.`_HRDATA;
        seq_item_port.put_response(this.got_rsp_tmp);
        this.sample_rdata = 0;
    end
    if((this.sop_cnt == 1) && (haddr_hctrl_q.size() > 0))begin//the first data has been drived
        temp_haddr_hctrl = haddr_hctrl_q.pop_front(); 
        `haddr_hctrl_drv2bus(hsel,htrans,hsize,hwrite,haddr)
        `uvm_info("REG_CFG_DRV","thread2: drv first seq!",UVM_HIGH)
        this.sop_cnt ++;
        `uvm_info("REG_CFG_DRV",$sformatf("thread2: sop_cnt = %0d",sop_cnt),UVM_HIGH)
    end else if((haddr_hctrl_q.size() > 0) && (haddr_hctrl_q.size() < hwdata_q.size()))begin//the middle data has been drived
        `uvm_info("REG_CFG_DRV",$sformatf("thread2: vif.hready = %0d",vif.`_HREADY),UVM_HIGH)
        if(vif.`_HREADY == 1'b1)begin
            temp_haddr_hctrl  = haddr_hctrl_q.pop_front(); 
            temp_hwdata       = hwdata_q.pop_front();
            `sample_rdata_func(hwrite)
        end
        `haddr_hctrl_drv2bus(hsel,htrans,hsize,hwrite,haddr)
        `hwdata_drv2bus(hwdata)
        `uvm_info("REG_CFG_DRV","thread2: drv middle seq!",UVM_HIGH)
    end else if((haddr_hctrl_q.size() == 0) && (hwdata_q.size() == 1))begin//the last data has been drived.
        `uvm_info("REG_CFG_DRV",$sformatf("thread2: vif.hready = %0d",vif.`_HREADY),UVM_HIGH)
        if(vif.`_HREADY == 1'b1)begin
            temp_haddr_hctrl.hsel   = 'h0;
            temp_haddr_hctrl.haddr  = 'h0;
            temp_haddr_hctrl.htrans = 'h0;
            temp_haddr_hctrl.hwrite = 'h0;
            temp_haddr_hctrl.hsize  = 'h0;
            temp_hwdata             = hwdata_q.pop_front() ;
            `sample_rdata_func(hwrite)
        end
        `haddr_hctrl_drv2bus(hsel,htrans,hsize,hwrite,haddr)
        `hwdata_drv2bus(hwdata)
        `uvm_info("REG_CFG_DRV","thread2: drv last seq!",UVM_HIGH)
        this.sop_cnt = 'h1;
        reg_cfg_drv_finished.trigger();
    end
endtask:drv_pkt_item

task reg_cfg_driver::reset_process();
    vif.hsel   <= 'h0;
    vif.haddr  <= 'h0;
    vif.htrans <= 'h0;
    vif.hwrite <= 'h0;
    vif.hsize  <= 'h0;
    vif.hwdata <= 'h0;

    sop_cnt = 'h1;
endtask:reset_process

function void reg_cfg_driver::report_phase(uvm_phase phase);
endfunction:report_phase

task reg_cfg_driver::delay_n_cyc(int N);
    repeat (N) begin @(posedge vif.clk); end
endtask:delay_n_cyc

`undef _HREADY
`undef _HRDATA
`undef haddr_hctrl_drv2bus
`undef hwdata_drv2bus
`undef sample_rdata_func
`endif

2.4 仿真log与波形

2.5 多级流水拓展方法

多级流水同样可以采取该种方法,举个例子,如果是3级流水,只需要在代码中根据haddr_hctrl_q队列和hwdata_q队列的size大小识别到第一笔传输、第二笔传输、中间传输、倒数第二笔传输、最后一笔传输,然后根据HREADY的高低决定是否更新地址和数据相即可。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/157264.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Pytorch从零开始实战10

Pytorch从零开始实战——ResNet-50算法实战 本系列来源于365天深度学习训练营 原作者K同学 文章目录 Pytorch从零开始实战——ResNet-50算法实战环境准备数据集模型选择开始训练可视化模型预测总结 环境准备 本文基于Jupyter notebook&#xff0c;使用Python3.8&#xff0c…

QT专栏2 -Qt for Android

#2023年11月18日 # Qt version 6.6 JDK17 JDK 安装 Java Downloads | Oracle 设置环境变量 鼠标右键我的电脑&#xff0c;出现如下界面 Qt配置 改用JDK18&#xff0c;没有乱码&#xff0c;由于不影响APK产生。 做了好多尝试&#xff0c;更换JDK版本(11,18,19,21)&…

labelImg

labelImg 在anaconda虚拟环境中安装labelImg 进入conda虚拟环境DL2中 输入命令&#xff1a; pip install PyQt5 pip install pyqt5-tools pip install lxml pip install labelimg PyQt5:是用于创建GUI应用程序的跨平台工具包&#xff0c;它将Python与Qt库融为一体 Lxml&#…

GDB Debugging Notes

1 Debugging programs using gdb 1.1 gdb简介 gdb是一个功能强大的调试工具&#xff0c;可以用来调试C程序或C程序。在使用这个工具进行程序调试时&#xff0c;主要涉及下面几个方面的操作&#xff1a; 启动程序:在启动程序时&#xff0c;可以设置程序运行环境。设置断点:程序…

Double 4 VR智能互动系统在轨道交通实训教学中的应用

Double 4 VR智能互动系统是一种集成了虚拟现实技术、人工智能和物联网技术的教学系统。计算机通过模拟真实的轨道交通环境&#xff0c;为学生提供了一个高度仿真的学习环境&#xff0c;帮助他们更好地理解和掌握轨道交通的相关知识和技能。 首先&#xff0c;Double 4 VR智能互动…

composer切换全局镜像源的方法

composer 默认配置中的镜像地址为国外的&#xff0c;在下载一些依赖包的时候会感觉很慢。当然国内也有很多composer镜像地址的&#xff0c;比如阿里云&#xff0c;腾讯云等。下面的博文73so博客就和大家说说&#xff0c;如何将composer的默认镜像改为国内镜像源的方法。 compo…

Redis跳跃表

前言 跳跃表(skiplist)是一种有序数据结构&#xff0c;它通过在每一个节点中维持多个指向其他节点的指针&#xff0c;从而达到快速访问节点的目的。 跳跃表支持平均O(logN)&#xff0c;最坏O(N)&#xff0c;复杂度的节点查找&#xff0c;还可以通过顺序性来批量处理节点…

2021秋招-算法-递归

算法-递归 教程: ⭐告别递归&#xff0c;谈谈我的一些经验 LeetCode刷题总结-递归篇 基础框架 leetcode刷题 1.leetcode-101. 对称二叉树-简单 101. 对称二叉树 给定一个二叉树&#xff0c;检查它是否是镜像对称的。 例如&#xff0c;二叉树 [1,2,2,3,4,4,3] 是对称的。…

子虔与罗克韦尔自动化合作 进博会签约自动化净零智造联创中心

11月6日进博会现场&#xff0c;漕河泾罗克韦尔自动化净零智造联创中心合作协议签约暨合作伙伴&#xff08;第一批&#xff09;授牌仪式举办&#xff0c;子虔科技作为联创中心合作伙伴签约&#xff0c;携手共建智能制造&#xff0c;引领行业可持续发展。 图示&#xff1a;子虔科…

【电路笔记】-电源电压

电源电压 文章目录 电源电压1、概述1.1 交流发电机1.2 电池1.3 理想电压源1.4 实际电压源1.5 连接规则 2、相关源2.1 压控电压源 (VCVS)2.2 电流控制电压源 (CCVS) 3、总结 在本文中&#xff0c;我们详细介绍了称为电源电压的重要电子元件的架构、功能和使用。 我们首先提出理想…

从零开始学习typescript——数据类型

数据类型 以前我们用js编写代码的时候&#xff0c;都是直接使用let、var、const 来定义数据类型&#xff1b;js会在运行时来确定数据类型&#xff0c;但是在ts中&#xff0c;可以在声明时就可以指定数据类型。如果你学过其他编程语言&#xff0c;比如c、java就能更好的理解了。…

什么是神经网络(Neural Network,NN)

1 定义 神经网络是一种模拟人类大脑工作方式的计算模型&#xff0c;它是深度学习和机器学习领域的基础。神经网络由大量的节点&#xff08;或称为“神经元”&#xff09;组成&#xff0c;这些节点在网络中相互连接&#xff0c;可以处理复杂的数据输入&#xff0c;执行各种任务…

Docker安装Zookeeper

天行健&#xff0c;君子以自强不息&#xff1b;地势坤&#xff0c;君子以厚德载物。 每个人都有惰性&#xff0c;但不断学习是好好生活的根本&#xff0c;共勉&#xff01; 文章均为学习整理笔记&#xff0c;分享记录为主&#xff0c;如有错误请指正&#xff0c;共同学习进步。…

【数据结构】栈和队列的模拟实现

前言&#xff1a;前面我们学习了单链表并且模拟了它的实现&#xff0c;今天我们来进一步学习&#xff0c;来学习栈和队列吧&#xff01;一起加油各位&#xff0c;后面的路只会越来越难走需要我们一步一个脚印&#xff01; &#x1f496; 博主CSDN主页:卫卫卫的个人主页 &#x…

【ArcGIS Pro微课1000例】0034:矢量数据几何校正案例(Spatial Adjustment)

本案例讲解矢量数据几何校正&#xff0c;根据一个矢量数据去校正另外一个矢量数据。 文章目录 一、加载实验数据二、空间校正三、注意事项 一、加载实验数据 在ArcGIS Pro中加载数据效果如下&#xff1a; design&#xff1a;需要校正的数据图层planroadcenter&#xff1a;目标…

ubuntu22.04安装网易云音乐

附件&#xff1a; https://download.csdn.net/download/weixin_44503976/88557248 wget https://d1.music.126.net/dmusic/netease-cloud-music_1.2.1_amd64_ubuntu_20190428.deb wget -O patch.c https://aur.archlinux.org/cgit/aur.git/plain/patch.c?hnetease-cloud-m…

数据库的基本概念以及MySQL基本操作

一、数据库的基本概念 1、数据库的组成 数据&#xff1a;描述事物的符号记录 包括数字&#xff0c;文字、图形、图像、声音、档案记录等 以“记录”形式按统一格式进行存储 表&#xff1a;将不同的记录组织在一起&#xff0c;用来存储具体数据 数据库&#xff1a; 表的集合…

【开源】基于Vue和SpringBoot的服装店库存管理系统

项目编号&#xff1a; S 052 &#xff0c;文末获取源码。 \color{red}{项目编号&#xff1a;S052&#xff0c;文末获取源码。} 项目编号&#xff1a;S052&#xff0c;文末获取源码。 目录 一、摘要1.1 项目介绍1.2 项目录屏 二、功能模块2.1 数据中心模块2.2 角色管理模块2.3 服…

AT89S52单片机

目录 一.AT89S52单片机的硬件组成 1.CPU(微处理器) (1)运算器 (2)控制器 2.数据存储器 (RAM) (1)片内数据存储器 (2)片外数据存储器 3.程序存储器(Flash ROM) 4.定时器/计数器 5.中断系统 6.串行口 7.P0口、P1口、P2口和P3口 8.特殊功能寄存器 (SFR) 常用的特殊功…

>Web 3.0顶级干货教学:浅析区块链与货币关系

Web 3.0顶级干货教学&#x1f525;&#xff1a;浅析区块链与货币关系 尊重原创&#xff0c;编写不易 &#xff0c;帮忙点赞关注一下~转载小伙伴请注明出处&#xff01;谢谢 1.0 数字交易 最早一笔数字化交易 是在www.PizzaHut.com 在 1994 年产生的&#xff0c;但是有趣的事情…