STM32F4XX之串口

一、标准串口(UART)介绍

1、通信协议相关概念

1.1同步通信和异步通信

(1)同步通信:两个器件之间共用一个时钟线,要发送的数据在时钟的作用下一位一位发送出去。

(2)异步通信:指两个器件之间没有时钟线连接,器件接受/发送数据时使用各自的时钟,以不同的时钟频率进行通信。

1.2串行与并行通信

(1)串行通信:只有一根数据线,各个数据位通过数据线按照顺序一位一位的传输。

优点:稳定性高、简单、成本低

缺点:速度慢

(2)并行通信:有多根数据线,各个数据位同时传输。

优点:速度快。

缺点:稳定性不高、设计复杂、成本高。(占用引脚资源多)

1.3单工、半双工、全双工通信

(1)单工:数据只能由设备A到设备B,不能从设备B到设备A,(任何时候只能是一个方向,如遥控器)。

(2)半双工:设备A发送数据给设备B或者设备B发送数据给设备A。(数据可以在两个方向上传输。同一时刻,数据只能在一个方向传输,传输方向可切换的单工通信;接收端和发送端,可以使用一个端口(扩展)。如对讲机)。

(3)全双工:设备A发送数据给设备B的同时,设备B也可以发送数据给设备A。(允许数据同时在两个方向上传输,发送接收互补影响,所以需要独立的接收端和发送端)。

2、标准串口(UART)概念及其作用

2.1概念:串口也称串行通信接口,是一种MCU与其他器件通信的通信协议。

2.2作用:主要用于芯片与芯片之间、模块与芯片之间、模块与模块之间按照这种通信协议进行数据交换。如:STM32F4XX 和 GSM ,WIFE.北斗.语音,5G模块等。

2.2.1标准UART的协议类型及拓扑接口(接口标准)

底层:接口规范

标准UART的协议类型:异步串行全双工。(没有时钟线,一条发送数据线,一条接收数据线)

RXD:数据接收管脚;R = receive接受

TXD:数据发送管脚;T = transmit发送

注意:地线在硬件上一定要接,否则数据不正常。

2.2.2标准UART的数据帧格式

数据帧格式:将要发送的真正的信息和其它通信必须的信号封装成一包数据,这一包数据包含以下几个内容。

标准UART中一帧数据:1位起始位+(5~8)数据位+1位校验位+(0.5~2)位停止位。

空闲电平:数据线不传输数据时的状态,该状态为高电平。

起始位:该状态为低电平,占用一个bit,代表通信开始。
数据位:真正传输的数据,占用5~8bit。
校验位:为了检测数据传输的正确与否。占用1bit。

(一般不用)奇偶校验位:奇校验:1000 1100  0  
偶校验:1110 0000  1
停止位:该状态为高电平,占用0.5~2bit,代表通信结束。

2.2.3标准串口速率控制
什么是波特率?波特率的作用是什么?
(1)波特率的作用和概念: 当接收和发送器件的时钟频率不一致时,为了让数据可以正确的收发,所以双方要规定好一个合适的频率进行通信,规定的这个频率称之为波特率,波特率又叫比特率。 
(2)波特率:单位时间内传输的二进制代码的有效位数,其常用单位为每秒比特数bit/s(bps== bit per second)。
(3)常用的波特率:115200、38400、9600,每秒能传输多少位数据。
总结:标准UART的四要素:波特率(通讯速率),数据位长度,校验位,停止位长度。

二、串口的概述

串口是模块是芯片内部的一个片内外设。

STM32F407单片机内部共有6个串口

1.USART介绍

名词解析:USART :Universal Synchronous/Asynchronous ReceiverTransmitters

U:通用的        S:同步        A:异步        R:接受        T:发送
通用同步异步收发器 (USART) 能够灵活地与外部设备进行全双工数据交换,满足外部设备对工业标准 NRZ 异步串行数据格式的要求。 

2.UART框图分析

2.1管脚部分

TX:发送数据管脚

RX:接收数据管脚

2.2数据发送/接收部分

 CPU定义一个8位或者9位的数据并写入到数据寄存器(DR)
1发送数据’A’: USART->DR= ’A’;

(cpu)读取接收数据寄存器(DR)里的值。(人为)
2接受数据:int a= USART->DR;

串口通讯流程

2.3波特率设置

USART 通过小数波特率发生器提供了多种波特率。

2.4控制部分及寄存器分析

三、UART相关寄存器介绍

状态寄存器 (USART_SR)

位 7 TXE:发送数据寄存器为空 (Transmit data register empty) 
当 TDR 寄存器的内容已传输到移位寄存器时,该位由硬件置 1。
如果 USART_CR1 寄存器 中 TXEIE 位 = 1,则会生成中断。通过对 USART_DR 寄存器执行写入操作将该位清零。
 0:数据未传输到移位寄存器 
 1:数据传输到移位寄存器 
位 6 TC:发送完成 (Transmission complete) 
如果已完成对包含数据的帧的发送并且 TXE 置 1,则该位由硬件置 1。如果 USART_CR1 寄存器中 TCIE = 1,则会生成中断。该位由软件序列清零(读取 USART_SR 寄存器,然后写入 USART_DR 寄存器)。TC 位也可以通过向该位写入‘0’来清零。建议仅在多缓冲区通信时使用此清零序列。 
 0:传送未完成
 1:传送已完成 
位 5 RXNE:读取数据寄存器不为空 (Read data register not empty) 
当 RDR 移位寄存器的内容已传输到 USART_DR 寄存器时,该位由硬件置 1。如果 USART_CR1 寄存器中 RXNEIE = 1,则会生成中断。通过对 USART_DR 寄存器执行读入操作将该位清零。RXNE 标志也可以通过向该位写入零来清零。建议仅在多缓冲区通信时使用此清零序列。
0:未接收到数据 
1:已准备好读取接收到的数据 
位 4 IDLE:检测到空闲线路 (IDLE line detected) 
检测到空闲线路时,该位由硬件置 1。如果 USART_CR1 寄存器中 IDLEIE = 1,则会生成中 断。该位由软件序列清零(读入 USART_SR 寄存器,然后读入 USART_DR 寄存器)。 
0:未检测到空闲线路
1:检测到空闲线路 
注意:直到 RXNE 位本身已置 1 时(即,当出现新的空闲线路时)IDLE 位才会被再次置 1。 

2.数据寄存器 (USART_DR)
位 8:0 DR[8:0]:数据值 
    包含接收到数据字符或已发送的数据字符,具体取决于所执行的操作是“读取”操作还是“写入”操作。
因为数据寄存器包含两个寄存器,一个用于发送 (TDR),一个用于接收 (RDR),因此它具有双重功能(读和写)。
3.波特率寄存器 (USART_BRR)

位 31:16 保留,必须保持复位值 
    位 15:4 DIV_Mantissa[11:0]:USARTDIV 的尾数 
        这 12 个位用于定义 USART 除数 (USARTDIV) 的尾数 
    位 3:0 DIV_Fraction[3:0]:USARTDIV 的小数 
    这 4 个位用于定义 USART 除数 (USARTDIV) 的小数。当 OVER8 = 1 时,不考虑 DIV_Fraction3 
位,且必须将该位保持清零。
注意: 如果 TE 或 RE 位分别被禁止,则波特计数器会停止计数。
4.控制寄存器 1 (USART_CR1)

位 15 OVER8:过采样模式 (Oversampling mode) 
0:16 倍过采样 
1:8 倍过采样
//过采样就是为得到一个信号真实情况,需要用一个比这个信号频率高的采样信号去检测,也就是将串口接收的速度提高了,16倍就是采样速度提高16倍,即会采样更多 的点来确定数据的正确性但为了得到越高频率采样信号越也困难,运算和功耗等等也会增加,所以一般选择合适就好。
位 13 UE:USART 使能 (USART enable) 
该位清零后,USART 预分频器和输出将停止,并会结束当前字节传输以降低功耗。此位由软件置 1 和清零。 
0:禁止 USART 预分频器和输出 
1:使能 USART
注意:串口全部配置好,最后打开此位
位 12 M:字长 (Word length)
该位决定了字长。该位由软件置 1 或清零。 
0:1 起始位,8 数据位,n 停止位 
1:1 起始位,9 数据位,n 停止位
位 3 TE:发送器使能 (Transmitter enable) 
该位使能发送器。该位由软件置 1 和清零。 
0:禁止发送器 
1:使能发送器
位 2 RE:接收器使能 (Receiver enable) 
该位使能接收器。该位由软件置 1 和清零。 
0:禁止接收器 
1:使能接收器并开始搜索起始位
5.控制寄存器 2 (USART_CR2)

位 13:12 STOP:停止位 (STOP bit) 
这些位用于编程停止位。 
00:1 个停止位 
01:0.5 个停止位 
10:2 个停止位 
11:1.5 个停止位

6.外设时钟使能寄存器
USART是学习STM32F407ZGT6的第一个外设,这个外设如果要正常工作需要开启相应的时钟(打开开关)。USART外设接在哪条总线上。《STM32F407ZGT6数据手册》第二章节芯片框架中有。开启RCC相关寄存器配置。
(1).RCC APB1 外设时钟使能寄存器 (RCC_APB1ENR)

位 20 UART5EN:UART5 时钟使能 (UART5 clock enable) 
 由软件置 1 和清零。 
 0:禁止 UART5 时钟 
 1:使能 UART5 时钟 
 位 19 UART4EN:UART4 时钟使能 (UART4 clock enable) 
 由软件置 1 和清零。 
 0:禁止 UART4 时钟 
 1:使能 UART4 时钟 
 位 18 USART3EN:USART3 时钟使能 (USART3 clock enable) 
 由软件置 1 和清零。 
 0:禁止 USART3 时钟 
 1:使能 USART3 时钟 
 位 17 USART2EN:USART2 时钟使能 (USART2 clock enable) 
 由软件置 1 和清零。 
 0:禁止 USART2 时钟 
 1:使能 USART2 时钟
 (2)RCC APB2 外设时钟使能寄存器 (RCC_APB2ENR)
位 5 USART6EN:USART6 时钟使能 (USART6 clock enable)
 由软件置 1 和清零。 
0:禁止 USART6 时钟 
1:使能 USART6 时钟位 
4 USART1EN:USART1 时钟使能 (USART1 clock enable)
 由软件置 1 和清零。 
0:禁止 USART1 时钟 
1:使能 USART1 时钟
四、硬件分析

五、软件分析

 配置GPIO口
1. 打开GPIOA的时钟
2. 配置PA9和PA10为复用模式
3. 推挽类型
4. 不需要上下拉
5. 速度2M
6. 复用到哪里?
配置USART
1. 打开USART1外设时钟
2. 配置CR1寄存器(16倍过采样,8位字长,接收器和发送器使能,无奇偶校验)
3. 配置停止位(CR2)
4. 配置波特率(BRR)
5. 使能USART1
6. 发送数据出去(DR)
 

#include "usart1.h"/************************************
函数功能:USART1初始化
函数形参:u32 baud -- 波特率
函数返回值:void
函数说明:PA9 -- 复用到USART1_TXPA10 -- 复用到USART1_RX
作者:
日期:
************************************/
void Usart1_Init(u32 baud)
{float USARTDIV = 0;u16 DIV_Man = 0;u16 DIV_Fra = 0;//	一.配置GPIO口//1.打开GPIOA的时钟RCC->AHB1ENR |= 0X1 << 0;//2.配置PA9和PA10为复用模式GPIOA->MODER &= ~(0XF << 9 *2);GPIOA->MODER |= 0XA << 9*2;//3.复用到哪里?往复用高位寄存器的9号和10号管脚写7GPIOA->AFR[1] &= ~(0XFF << 4);GPIOA->AFR[1] |= (0X77 << 4);//	二.配置USART//1.打开USART1外设时钟RCC->APB2ENR |= 0X1 << 4;//2.配置CR1寄存器USART1->CR1 = 0;/*16倍过采样1 起始位, 8 数据位, n 停止位无奇偶校验*/USART1->CR1 |= 0X3 << 2;//接收器和发送器都使能了//3.配置1个停止位(CR2)USART1->CR2 &= ~(0X3 << 12);//4.配置波特率(BRR)USARTDIV = FPCLK / baud / 16;DIV_Man = USARTDIV;DIV_Fra = (USARTDIV - DIV_Man) *16;USART1->BRR = DIV_Man << 4 | DIV_Fra;//5.使能USART1USART1->CR1 |= 0X1 << 13;}/************************************
函数功能:使用USART发送字符串
函数形参:u8 *str
函数返回值:void
函数说明:可以通过USART1的DR寄存器发送数据到电脑上
作者:
日期:
************************************/
void Send_String(u8 *str)
{while(*str != 0){if(USART1->SR & (0X1 << 7)){USART1->DR = *str;str++;//只有成功发出去才进行偏移}}
}RECEVICE rec_str = {0};
/************************************
函数功能:接受一个字符串
函数形参:void
函数返回值:void
函数说明:要有一个接收电脑传过来数据的数组当前数组的长度有一个接收完成的标志位利用一个特定的字符来判断什么时候接受完数据
作者:
日期:
************************************/
void Receive_String(void)
{if(USART1->SR & (0X1 << 5))//判断什么时候接受到数据{if(USART1->DR != '\n'){rec_str.rec_buff[rec_str.len++] = USART1->DR;}else{rec_str.rec_buff[rec_str.len++] = USART1->DR;rec_str.rec_buff[rec_str.len] = '\0';rec_str.len = 0;//让下一次存储的字符串又从0号元素下标开始rec_str.flag = 1;//接收完成标志位可以让别人知道接收完整个字符串}	}	
}//printf支持
#pragma import(__use_no_semihosting_swi) //取消半主机状态struct __FILE { int handle; /* Add whatever you need here */ };
FILE __stdout;int fputc(int ch, FILE *f) {while((USART1->SR &(0X01<<7))==0);USART1->DR=ch;return (ch);
}
int ferror(FILE *f) {/* Your implementation of ferror */return EOF;
}void _ttywrch(int ch) {while((USART1->SR &(0X01<<7))==0);USART1->DR=ch;
}void _sys_exit(int return_code) {
label:  goto label;  /* endless loop */
}
#ifndef __USART_H_
#define __USART_H_#include "stm32f4xx.h"
#include "io_bit.h"
#include "stdio.h"#define FPCLK 84000000
#define BUFFSIZE 256typedef struct{u8 rec_buff[BUFFSIZE];//定义一个接收数据的数组u8 len; //当前接收到的数据的长度u8 flag;//表示当前接收到的数据已经是一个字符串的形式了
}RECEVICE;
extern RECEVICE rec_str;void Usart1_Init(u32 baud);
void Send_String(u8 *str);
void Receive_String(void);
#endif

int main(void)
{Usart1_Init(9600);printf("111");while(1){Receive_String();//不断的接收数据if(rec_str.flag == 1){rec_str.flag = 0;//首先把标志位清零printf("接收到的字符串:%s\r\n",rec_str.rec_buff);memset(rec_str.rec_buff,0,sizeof(rec_str.rec_buff));}}
}

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/113106.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

非关系型数据库-Redis

一、缓存概念 缓存是为了调节速度不一致的两个或多个不同的物质的速度&#xff0c;在中间对速度较慢的一方起到加速作用&#xff0c;比如CPU的一级、二级缓存是保存了CPU最近经常访问的数据&#xff0c;内存是保存CPU经常访问硬盘的数据&#xff0c;而且硬盘也有大小不一的缓存…

可视化模拟航线

目录 效果图 前言 新社区 将模拟航线引入到自己的html页面中 创建容器 初始化echarts实例对象 配置项给echarts 效果图 前言 模拟航线为echarts社区里面的大佬制作&#xff0c;由于2022.7.28&#xff0c;echarts的社区停止了&#xff0c;所以本文是为了方便直接使用&…

【fiddler+loadrunner 两兄弟制霸脚本开发】

前言 一、fiddler工具 1、运行fiddler工具 2、运行需要抓包的网站 这里以loadrunner自带的网站为例 点击“Start web Server” 再点击如下 会看到如下的页面 &#xff08;备注&#xff1a;这里把127.0.0.1改成本机的ip地址&#xff0c;有时fiddler工具会监控不到127.0.0.1的请…

YOLOv5算法改进(15)— 如何去更换Neck网络(包括代码+添加步骤+网络结构图)

前言:Hello大家好,我是小哥谈。在学习完了如何去更换主干网络之后,接着就让我们通过案例的方式去学习下如何去更换Neck网络。本篇文章的特色就是比较浅显易懂,附加了很多的网络结构图,通过结构图的形式向大家娓娓道来,希望大家学习之后能够有所收获!🌈 前期回顾: YO…

Microsoft Edge中使用开源的ChatGPT

一、双击打开浏览器 找到&#xff1a;扩展&#xff0c;打开 二、打开Microsoft Edge加载项 三、Move tab新标签 获取免费ChatGPT 四、启用Move tab。启用ChatGPT。 扩展 管理扩展 启用 五、新建标签页&#xff0c;使用GPT 六、使用举例 提问 GPT回复

BAT033:批量删除文件特定字符及特定字符之后的字符

引言&#xff1a;编写批处理程序&#xff0c;实现批量删除文件特定字符及特定字符之后的字符。 一、新建Windows批处理文件 参考博客&#xff1a; CSDNhttps://mp.csdn.net/mp_blog/creation/editor/132137544 二、写入批处理代码 1.右键新建的批处理文件&#xff0c;点击【…

SpringBoot集成Redisson操作Redis

目录 一、前言二、基础集成配置&#xff08;redis单节点&#xff09;2.1、POM2.2、添加配置文件2.3、添加启动类2.4、添加测试类测试redisson操作redis 一、前言 Redisson 是一个在 Redis 的基础上实现的 Java 驻内存数据网格&#xff0c;Redisson相比较与Jedis和Lettuce来说最…

时间复杂度

复杂度的概念&#xff1a; 算法在编写成可执行程序后&#xff0c;运行时需要耗费时间资源和空间(内存)资源 。因此衡量一个算法的好坏&#xff0c;一般 是从时间和空间两个维度来衡量的&#xff0c;即时间复杂度和空间复杂度。 时间复杂度主要衡量一个算法的运行快慢&#xff…

IoT 物联网共享充电桩场景中设备资产定位和地理围栏开发实践

基于经纬度的设备资产定位和地理围栏在物联网场景中应用广泛 01 物联网 GEO 场景架构方案 首先&#xff0c;IoT 终端设备通过卫星定位模块获取当前经纬度&#xff1b;然后&#xff0c;将坐标信息实时上报到物联网平台&#xff1b;最后&#xff0c;存储到 Redis GEO 数据库中。 …

百度智能云推出,国内首个大模型全链路生态支持体系

在10月17日举行的百度世界2023上&#xff0c;百度智能云宣布&#xff0c;百度智能云千帆大模型服务平台已服务17000多家客户&#xff0c;覆盖近500个场景。 同时&#xff0c;新的企业和开发者还正在不断地涌入千帆&#xff0c;大模型调用量高速攀升。平台上既有年龄仅14岁的小…

USRP-2944 配件讲解,如何选择对应的配件

USRP-2944 产品图片 产品官网价格信息 查看附件和价格 硬件服务 NI硬件服务计划通过简化物流&#xff0c;延长正常运行时间以及根据业界标准维护数据的可追溯性&#xff0c;帮助您节省系统组装、设置和维护所需的时间和金钱。这些计划涵盖多年期维修服务&#xff0c;同时还提…

Adobe产品2024

一、软件下载&#xff1a; 二、软件介绍&#xff1a; Adobe公司旗下的产品在影视后期、平面设计等领域有着无可取代的地位。在创意和设计领域中&#xff0c;产品有多达 21 个&#xff0c;包括 Photoshop、Illustrator、InDesign、Premiere Pro、After Effects 和 Acrobat Pro …

excel怎么固定前几行前几列不滚动?

在Excel中&#xff0c;如果你想固定前几行或前几列不滚动&#xff0c;可以通过以下几种方法来实现。详细的介绍如下&#xff1a; **固定前几行不滚动&#xff1a;** 1. 选择需要固定的行数。例如&#xff0c;如果你想要固定前3行&#xff0c;应该选中第4行的单元格。 2. 在E…

C++ 友元函数和友元类

前言 在本文中&#xff0c;您将学习在C 中创建友元函数和友元类&#xff0c;并在程序中有效地使用它们。OOP的重要概念之一是数据隐藏&#xff0c;即非成员函数无法访问对象的私有或受保护的数据。但是&#xff0c;有时这种限制可能迫使程序员编写冗长而复杂的代码。因此&#…

使用 ClickHouse 深入了解 Apache Parquet (一)

​ 【squids.cn】 全网zui低价RDS&#xff0c;免费的迁移工具DBMotion、数据库备份工具DBTwin、SQL开发工具等 自2013年作为Hadoop的列存储发布以来&#xff0c;Parquet几乎已经成为一种无处不在的文件交换格式&#xff0c;它提供了高效的存储和检索。这种采纳使其成为更近期的…

【六:pytest框架介绍】

常见的请求对象requests.get()requests.post()requests.delete()requests.put()requests.request()常见的响应对象reprequests.request()//返回字符串格式数据print(req.text)//返回字节格式数据print(req.content)//返回字典格式数据print(req.json)#状态码print(req.status_c…

GC overhead limit exceeded问题

1.问题现象 程序包运行时候发生了java.lang.OutOfMemoryError: GC overhead limit exceeded异常&#xff0c; 详细信息如下 org.apache.ibatis.exceptions.PersistenceException: ### Error querying database. Cause: org.jboss.util.NestedSQLException: Error; - nested t…

【斗罗二】冰帝两次险些杀死雨浩,天梦哥求助伊老遭拒绝,霍云儿现身救儿子

Hello,小伙伴们&#xff0c;我是小郑继续为大家深度解析绝世唐门。 斗罗大陆动画第二部绝世唐门已经更新了&#xff0c;霍雨浩与冰帝完美融合&#xff0c;成功觉醒了第二武魂&#xff0c;霍挂的时代正式到来。只是在整个第19集中&#xff0c;官方做了大量的改编&#xff0c;不但…

AC修炼计划(AtCoder Regular Contest 167)

传送门&#xff1a;AtCoder Regular Contest 167 - AtCoder 再次感谢樱雪喵大佬的题解&#xff0c;讲的很详细&#xff0c;Orz。 大佬的博客链接如下&#xff1a;Atcoder Regular Contest 167 - 樱雪喵 - 博客园 (cnblogs.com) 第一题很签到&#xff0c;就省略掉了。 第二题…

数据结构——二叉树的公共祖先问题

数据结构——二叉树的公共祖先问题 236. 二叉树的最近公共祖先思路 235. 二叉搜索树的最近公共祖先思路1.递归2.迭代 236. 二叉树的最近公共祖先 236. 二叉树的最近公共祖先 给定一个二叉树, 找到该树中两个指定节点的最近公共祖先。 百度百科中最近公共祖先的定义为&#x…