基于STM32_DS18B20单总线传感器驱动

基于STM32_DS18B20单总线传感器驱动

文章目录

  • 基于STM32_DS18B20单总线传感器驱动
  • 前言
  • 一、BS18B20?
  • 二、原理
    • 1.复位与检验
    • 2.基本命令
    • 3.唯一ROM识别码
    • 4.温度转换
  • 三、驱动代码
  • 四、注意事项


前言

本文以一款典型的单总线传感器及其驱动——DS18B20为例,简单对1-Wire总线接口的传感器做个示例讲解,该项目基于硬件平台STM32F407,使用标准库本完成。


一、BS18B20?

DS18B20数字温度计提供9至12位(可配置)温度读数,指示设备的温度。信息通过1-Wire总线接口发送到/从DS18B20,因此只需要从中央微处理器连接到DS18B20的一根线(和接地)。读取、写入和执行温度转换的电源可以从数据线本身获得,而不需要外部电源。每个DS18B20都包含一个唯一的硅序列号,所以多个DS18B20可以存在于同一个1-Wire总线上。这允许在许多不同的地方放置温度传感器。此功能有用的应用包括暖通空调环境控制,感应建筑物,设备或机械内部的温度,以及过程监控和控制。

示例:pandas 是基于NumPy 的一种工具,该工具是为了解决数据分析任务而创建的。
特点:
1.唯一的1线接口只需要一个端口引脚进行通信
2.多功能简化了分布式温度传感应用
3.不需要外部组件,可从数据线供电。
4.供电范围3.0V至5.5V 零待机电源
5.测量温度范围为-55°C至+125°C。相当于-67°F到+257°F ±0.5°C精度从-10°C到+85°C
6.温度计分辨率可编程从9到12位

二、原理

1.复位与检验

主机发送(TX)复位脉冲(低信号,至少480µs),然后主机释放线路并进入接收模式(RX),线总线通过5k上拉电阻拉到高状态。在检测到DQ引脚上的上升沿后,DS18B20等待15-60µs,然后发送存在脉冲(60-240µs的低电平信号),主机在该时段检测到DQ的低电平信号,表示DS18B20设备存在,否则设备不存在。参见驱动中:void DS18B20_Reset(void)和uint8_t DS18B20_Check(void)函数。
在这里插入图片描述

2.基本命令

DS18B20常见的命令及含义如表所示:

名称命令码功能
Read ROM33h该命令允许总线主机读取DS18B20的8位族码、唯一的48位序列号和8位CRC。该命令只能在总线上有单个DS18B20时使用。如果总线上有多个从站,当所有从站试图同时传输时,就会发生数据冲突(open drain将产生有线AND结果)。
Match ROM55hmatch ROM命令,后跟64位ROM序列,允许总线主机在多路总线上寻址特定的DS18B20。只有与64位ROM序列完全匹配的DS18B20才会响应以下内存功能命令。所有与64位ROM序列不匹配的从存储器将等待复位脉冲。该命令可用于总线上的单个或多个设备。
Skip ROMCCh该命令允许总线主机在不提供64位ROM代码的情况下访问内存功能,从而在单丢总线系统中节省时间。如果总线上有一个以上的从站,并且在Skip ROM命令之后发出了Read命令,那么当多个从站同时传输时,总线上就会发生数据冲突(open drain下拉将产生一个有线and结果)。相当于跳过了识别码查验,直接读取温度
Search ROMF0h当系统最初启动时,总线主机可能不知道1-Wire总线上的设备数量或它们的64位ROM代码。搜索ROM命令允许总线主人使用消除过程来识别总线上所有从设备的64位ROM代码。
Read ScratchpadBEh该命令读取刮记本的内容。读取将从字节0开始,并将继续通过刮擦板,直到读取第九个(字节8,CRC)字节。如果不是所有的位置都要读取,主机可以在任何时候发出复位以终止读取。
Search ROM44h该命令开始温度转换。无需进一步收集数据。温度转换将被执行,然后DS18B20将保持空闲。如果总线主机按照该命令发出读时隙,只要DS18B20忙于进行温度转换,它就会在总线上输出0;当温度转换完成时,它将返回一个1。如果parasitepowered,总线主必须在发出该命令后立即启用一个大于tconv的强上拉。

基本操作过程参见官方手册给出的如下流程图
在这里插入图片描述

3.唯一ROM识别码

每个DS18B20包含一个64位长的唯一ROM代码。发送0x33命令后可读取改64位识别码,前8位是1-Wire族代码(DS18B20代码为28h)。接下来的48位是唯一的序列号。最后8位是前56位的CRC(CRC = X8 + X5 + X4 + 1),(参见图4)。当有多个DS18B20设备同时挂在1-Wire总线下时,可通过发送0x55匹配命令后发送识别码来匹配ROM识别码来确定控制或读取哪一个DS18B20设备数据。以前的方式是先准确无误得读取每个DS18B20设备唯一ROM识别码并提前写入代码中,如果某个传感器设备损坏,更换传感器设备的同时要更改代码或识别码配置文件。后来手册中给出一个Search ROM命令(暂时没试过)。
在这里插入图片描述

4.温度转换

DS18B20的核心功能是其直接数字温度传感器。DS18B20的分辨率是可配置的(9,10,11或12位),12位读数为出厂默认状态。这相当于0.5°C, 0.25°C, 0.125°C或0.0625°C的温度分辨率。在发出Convert T [44h]命令后,执行温度转换,热数据以16位扩展符号的二进制补码格式存储在刮板存储器中。一旦转换完成,可以通过发出Read Scratchpad [BEh]命令在1-Wire接口上检索温度信息。数据通过1-Wire总线传输,首先是LSB总线。温度寄存器的MSB包含“符号”(S)位,表示温度是正的还是负的。表2描述了输出数据与测量温度的确切关系。该表采用12位分辨率。转换方式参见驱动void DS18B20_GetTemp_Main(void)函数。
在这里插入图片描述

三、驱动代码

.h文件:

#ifndef __DS18B20_H
#define __DS18B20_H#include "stdio.h"
#include "Config.h" 
#include "SysTick.h"/********************************************DS18B20 devier********************************************/
#define DS18B20_IS_READY          (1u)   //设备存在      
#define DS18B20_NOT_READY         (0u)   //设备不存在#define DS18B20_DQ_IN()           {GPIOG->MODER&=~(3<<(9*2));GPIOG->MODER|=0<<9*2;}   //PG9 输入模式
#define DS18B20_DQ_OUT()          {GPIOG->MODER&=~(3<<(9*2));GPIOG->MODER|=1<<9*2;}   //PG9 输出模式#define DS18B20_DQ_PORT           GPIOG
#define DS18B20_DQ_PIN            GPIO_Pin_9#define DS18B20_WAIT_TIMEOUT      (uint8_t)240	  //等待时间
#define DS18B20_DELAY             (uint8_t)5	  //延时时间#define DS18B20_DQ_LOW            GPIO_ResetBits(DS18B20_DQ_PORT,DS18B20_DQ_PIN)    //为设置低电平
#define DS18B20_DQ_HIGH           GPIO_SetBits(DS18B20_DQ_PORT,DS18B20_DQ_PIN)      //设置为高电平 #define DS18B20_DQ_STATUS         GPIO_ReadInputDataBit(DS18B20_DQ_PORT,DS18B20_DQ_PIN)		//读取DQ状态#define DS18B20_delay_us(a)       SysCtlDelayus(a)			//延时函数ustypedef enum
{DS18B20_1 = 0,DS18B20_2,DS18B20_3,DS18B20_4,DS18B20_Num_Counter
}DS18B20_Num;/*DS18B20_SerialNumber
------------------------------------------------------------------------------------
|  8-BIT CRC CODE      |     48-BIT SERIAL NUMBER      |    8-BIT FAMILY CODE(28h) |
------------------------------------------------------------------------------------
MSB                 LSB MSB                         LSB  MSB                       LSB
*/
typedef struct
{uint8_t DS18B20_IndexNumber;          //设备编号uint8_t DS18B20_SerialNumber[8];      //ROM唯一识别码short   DS18B20_Temperature;          //温度数据
}DS18B20_ATTRIB_Type;extern uint8_t DS18B20_Init(void);
extern void DS18B20_Reset(void);
extern short DS18B20_Get_Temperature(uint8_t Index_Num);
extern void DS18B20_GetTemp_Main(void);#endif

.c文件:

 #include "DS18B20_Dev.h"//#define DS18B20_MORE_THAN_ONE static DS18B20_ATTRIB_Type DS18B20_Temp[DS18B20_Num_Counter] = {{DS18B20_1,{0x08,0x22,0x70,0xB0,0x9C,0x87,0x28},0u},{DS18B20_2,{0x00,0x00,0x00,0x00,0x00,0x00,0x00},0u},{DS18B20_3,{0x00,0x00,0x00,0x00,0x00,0x00,0x00},0u},{DS18B20_4,{0x00,0x00,0x00,0x00,0x00,0x00,0x00},0u},   };static uint8_t DS18B20_Check(void);
static uint8_t DS18B20_Read_Bit(void);
static uint8_t DS18B20_Read_Byte(void);
static void DS18B20_Write_Byte(uint8_t aByte);
static uint8_t DS18B20_Get_RomID(uint8_t *ID_Buffer);/************************************************************************************
*@fuction	:DS18B20_Init
*@brief		:
*@param		:--
*@return	:void
*@author	:_Awen
*@date		:2022-12-04
************************************************************************************/
extern uint8_t DS18B20_Init(void)
{DS18B20_Reset();if(DS18B20_Check() == DS18B20_IS_READY){#ifdef DS18B20_MORE_THAN_ONEDS18B20_Get_RomID(DS18B20_Temp[0].DS18B20_SerialNumber);#elsereturn DS18B20_IS_READY;#endif}
}/************************************************************************************
*@fuction	:DS18B20_Start
*@brief		:
*@param		:--
*@return	:void
*@author	:_Awen
*@date		:2022-12-04
************************************************************************************/
void DS18B20_Start(void)
{DS18B20_Reset();	   if(DS18B20_Check() == DS18B20_IS_READY)	 {DS18B20_Write_Byte(0xCC);   //skip romDS18B20_Write_Byte(0x44);   //convert}else{//error}
}/************************************************************************************
*@fuction	:DS18B20_Reset
*@brief		:
*@param		:--
*@return	:void
*@author	:_Awen
*@date		:2022-12-04
************************************************************************************/
void DS18B20_Reset(void)
{//DS18B20 复位时序:DQ输出模式 DQ = 0(750us), DQ = 0(20us.DS18B20_DQ_OUT();DS18B20_DQ_LOW;DS18B20_delay_us(750);DS18B20_DQ_HIGH;DS18B20_delay_us(15);
}/************************************************************************************
*@fuction	:DS18B20_Check
*@brief		:
*@param		:--
*@return	:1-device ok/0-device error
*@author	:_Awen
*@date		:2022-12-04
************************************************************************************/
uint8_t DS18B20_Check(void)
{uint8_t wait_time = 0;uint8_t Ready_Dev = 0;//DQ输入模式DS18B20_DQ_IN();//等待DQ脚被DS18B20拉低while((DS18B20_DQ_STATUS) && (wait_time < DS18B20_WAIT_TIMEOUT)){wait_time++;DS18B20_delay_us(1);}if(wait_time >= DS18B20_WAIT_TIMEOUT){//如果等待时间超时,则退出等待return (uint8_t)DS18B20_NOT_READY;}else{//等待DQ脚被DS18B20抬高wait_time = 0;while((!DS18B20_DQ_STATUS) && (wait_time < DS18B20_WAIT_TIMEOUT)){wait_time++;DS18B20_delay_us(1);}if(wait_time >= DS18B20_WAIT_TIMEOUT){//如果等待时间超时,则退出等待return (uint8_t)DS18B20_NOT_READY;}else{//如果未超时,则说明设备存在return (uint8_t)DS18B20_IS_READY;              }}
}/************************************************************************************
*@fuction	:DS18B20_Write_Byte
*@brief		:
*@param		:--
*@return	:void
*@author	:_Awen
*@date		:2022-12-04
************************************************************************************/
static void DS18B20_Write_Byte(uint8_t aByte)
{		uint8_t i = 0;uint8_t temp = 0;//将DQ设置为输出模式DS18B20_DQ_OUT();for(i = 0;i < 8;i++){temp = aByte&0x01;aByte = aByte>>1;if(temp){	//最低位为1DS18B20_DQ_LOW;DS18B20_delay_us(2);DS18B20_DQ_HIGH;DS18B20_delay_us(60);          }else{	  //最低位为0DS18B20_DQ_LOW;DS18B20_delay_us(60);DS18B20_DQ_HIGH;DS18B20_delay_us(2);}       }
}/************************************************************************************
*@fuction	:DS18B20_Read_Bit
*@brief		:
*@param		:--
*@return	:void
*@author	:_Awen
*@date		:2022-12-04
************************************************************************************/
static uint8_t DS18B20_Read_Bit(void) 			 //read one bit
{uint8_t Bit_Status;DS18B20_DQ_OUT();       //SET PG9 OUTPUTDS18B20_DQ_LOW; DS18B20_delay_us(2);DS18B20_DQ_HIGH; DS18B20_DQ_IN();        //SET PG9 INPUTDS18B20_delay_us(12); if(DS18B20_DQ_STATUS){Bit_Status = 1;}else{    Bit_Status = 0;}    DS18B20_delay_us(50);return Bit_Status;
}
/************************************************************************************
*@fuction	:DS18B20_Read_Byte
*@brief		:
*@param		:--
*@return	:void
*@author	:_Awen
*@date		:2022-12-04
************************************************************************************/
static uint8_t DS18B20_Read_Byte(void)
{uint8_t i = 0 ,Bit_Status = 0,aByte = 0;//DQ为输入模式DS18B20_DQ_IN();for (i = 0; i < 8; i++){//低位先出Bit_Status = DS18B20_Read_Bit();aByte = (Bit_Status << 7) | (aByte >> 1);}return aByte;
}/************************************************************************************
*@fuction	:DS18B20_Read_Byte
*@brief		:
*@param		:--
*@return	:void
*@author	:_Awen
*@date		:2022-12-04
************************************************************************************/
static uint8_t DS18B20_Get_RomID(uint8_t *ID_Buffer)
{//uint8_t RomID[8];uint8_t i = 0;//DS18B20_Start();                    // ds1820 start convertDS18B20_Reset();DS18B20_Check();	 DS18B20_Write_Byte(0x33);             // skip rom//SDA为输入模式DS18B20_DQ_IN();for (i = 0; i < 8; i++){ID_Buffer[i] = DS18B20_Read_Byte();}//return aByte;
}/************************************************************************************
*@fuction	:DS18B20_GetTemp_Main
*@brief		:
*@param		:--
*@return	:void
*@author	:_Awen
*@date		:2022-12-04
************************************************************************************/
void DS18B20_GetTemp_Main(void)
{uint8_t temp;uint8_t TL,TH;short Temperature;DS18B20_Start();                    // ds1820 start convertDS18B20_Reset();DS18B20_Check();	 DS18B20_Write_Byte(0xCC);           // skip romDS18B20_Write_Byte(0xBE);           // read	    TL = DS18B20_Read_Byte();           // LSB   TH = DS18B20_Read_Byte();           // MSB   if(TH > 7){TH = ~TH;TL = ~TL; temp = 0;     //温度为负  }else{      temp = 1;      //温度为正}      	  	  Temperature = TH;                           //获得高八位Temperature <<= 8;    Temperature += TL;                          //获得低八位Temperature = (double)Temperature * 0.625;  //转换     if(temp){DS18B20_Temp[0].DS18B20_Temperature = Temperature;                       //返回温度值}else {DS18B20_Temp[0].DS18B20_Temperature = -Temperature;}      
}/************************************************************************************
*@fuction	:DS18B20_Get_Temperature
*@brief		:
*@param		:--
*@return	:void
*@author	:_Awen
*@date		:2022-12-04
************************************************************************************/
extern short DS18B20_Get_Temperature(uint8_t Index)
{return DS18B20_Temp[0].DS18B20_Temperature;
}

四、注意事项

1.硬件电路中DQ脚外部会加上拉电阻,主机释放总线会被上拉电阻自动上拉,但为保险器件我们将主机释放中线写为主动上拉为高电平
2. .h文件中对延时函数DS18B20_delay_us(a)的定义,关于 SysCtlDelayus(a)实际是ARM汇编的一种延时函数的写法,参见另一篇关于延时函数的博客(汇编延时)https://blog.csdn.net/Yin_w/article/details/130036593?spm=1001.2014.3001.5501

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/news/102617.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

《UnityShader入门精要》学习2

UnityShader 基础 UnityShader 概述 一对好兄弟&#xff1a;材质和UnityShader 总体来说&#xff0c;在Unity中我们需要配合使用材质&#xff08;Material&#xff09;和Unity Shader才能达到需要的效果。一个最常见的流程是&#xff1a; &#xff08;1&#xff09;创建一个…

(5)SpringMVC处理携带JSON格式(“key“:value)请求数据的Ajax请求

SpringMVC处理Ajax 参考文章数据交换的常见格式,如JSON格式和XML格式 请求参数的携带方式 浏览器发送到服务器的请求参数有namevalue&...(键值对)和{key:value,...}(json对象)两种格式 URL请求和表单的GET请求会将请求参数以键值对的格式拼接到请求地址后面form表单的P…

【深度学习】UniControl 一个统一的扩散模型用于可控的野外视觉生成

论文&#xff1a;https://arxiv.org/abs/2305.11147 代码&#xff1a;https://github.com/salesforce/UniControl#data-preparation docker快速部署&#xff1a;https://qq742971636.blog.csdn.net/article/details/133129146 文章目录 AbstractIntroductionRelated WorksUniCo…

【Linux】HTTPS协议

文章目录 &#x1f4d6; 前言1. 引入https协议2. 常见的加密方式2.1 对称加密&#xff1a;2.2 非对称加密&#xff1a;2.3 数据摘要&&数据指纹&#xff1a; 3. 对加密方式的探究3.1 只使用对称加密&#xff1a;3.2 只使用非对称加密&#xff1a;3.3 双方都使用非对称加…

SQL和Python,哪个更容易自学?哪个更适合数据工作的编程新手?

如果你想从事数据工作&#xff0c;比如数据分析、数据开发、数据科学等&#xff0c;你可能会遇到这样的问题&#xff1a;SQL和Python哪个更容易自学&#xff1f;哪个更有用&#xff1f;哪个更有前途&#xff1f;其实这两种语言都是数据工作的重要技能&#xff0c;但它们的特点和…

计及电转气协同的含碳捕集与垃圾焚烧虚拟电厂优化调度(matlab代码)

目录 1 主要内容 系统结构 CCPP-P2G-燃气机组子系统 非线性处理缺陷 2 部分代码 3 程序结果 4 程序链接 1 主要内容 该程序参考《计及电转气协同的含碳捕集与垃圾焚烧虚拟电厂优化调度》模型&#xff0c;主要实现的是计及电转气协同的含碳捕集与垃圾焚烧虚拟电厂优化调度…

智能井盖传感器:提升城市安全与便利的利器

在智能化城市建设的浪潮中&#xff0c;WITBEE万宾智能井盖传感器&#xff0c;正以其卓越的性能和创新的科技&#xff0c;吸引着越来越多的关注。本文小编将为大家详细介绍这款产品的独特优势和广阔应用前景。 在我们生活的城市中&#xff0c;井盖可能是一个最不起眼的存在。然而…

通过动态IP解决网络数据采集问题

前言 网络数据采集是目前互联网上非常重要且广泛应用的技术之一&#xff0c;它可以帮助我们获取互联网上各种类型的数据&#xff0c;并将其转化为可用的信息。然而&#xff0c;一些网站为了保护其数据被滥用&#xff0c;采取了一系列的限制措施&#xff0c;其中包括对访问者的…

各类高危漏洞介绍及验证方式教程(一)

本期整理的漏洞验证教程约包含50多类漏洞&#xff0c;分多个章节编写&#xff0c;可从以下链接获取全文&#xff1a; 各类高危漏洞验证方式.docx (访问密码: 1455) 搭建dvwa测试环境基础教程.docx(访问密码: 1455) web逻辑漏洞挖掘快速入门基础教程.docx(访问密码: 1455) 01 Ca…

WPF向Avalonia迁移(三、项目结构)

前提&#xff1a; Avalonia版本11.0.0 1.配置文件 1.1 添加配置文件 1.2 读取配置文件 添加System.Configuration.ConfigurationManager using Avalonia.Controls; using System.Configuration;namespace AvaloniaApplication7.Views {public partial class MainWindow : W…

如何使用Net2FTP搭建免费web文件管理器打造个人网盘

文章目录 1.前言2. Net2FTP网站搭建2.1. Net2FTP下载和安装2.2. Net2FTP网页测试 3. cpolar内网穿透3.1.Cpolar云端设置3.2.Cpolar本地设置 4.公网访问测试5.结语 1.前言 文件传输可以说是互联网最主要的应用之一&#xff0c;特别是智能设备的大面积使用&#xff0c;无论是个人…

H3C交换机的40G堆叠线 ,可以插在普通光口做堆叠吗?

环境&#xff1a; S6520X-24ST-SI交换机 H3C LSWM1QSTK2万兆40G堆叠线QSFP 问题描述&#xff1a; H3C交换机的40G堆叠线 &#xff0c;可以插在普通光口做堆叠吗&#xff1f; 解答&#xff1a; 1.H3C交换机的40G堆叠线通常是用于连接堆叠模块或堆叠端口的。这些堆叠线通常使…

【技术干货】如何快速创建商用照明 OEM APP?

本文介绍了如何在涂鸦 IoT 平台的 App 工作台上创建一款体验版商照 App、正式版 OEM App、上架 App、以及完成通用配置。 OEM App 开发 创建 App 登录 涂鸦 IoT 平台的 App 页面。 单击 创建APP&#xff0c;选择 商照 APP 进行创建。 在提示框里&#xff0c;完善 App 信息…

通过Node.js获取高德的省市区数据并插入数据库

通过Node.js获取高德的省市区数据并插入数据库 1 创建秘钥1.1 登录高德地图开放平台1.2 创建应用1.3 绑定服务创建秘钥 2 获取数据并插入2.1 创建数据库连接工具2.2 请求数据2.3 数据处理2.4 全部代码 3 还可以打印文件到本地 1 创建秘钥 1.1 登录高德地图开放平台 打开开放平…

11面向对象编程例子 月饼可以访问模子 模子不能访问月饼

类就好比是一个模子&#xff0c;然后各种对象就是月饼&#xff0c;印的月饼太多了&#xff0c;于是找不到月饼了&#xff0c;但是月饼只有一个模子&#xff0c;所以可以向上找到自己的模子 先上代码&#xff1a; class Person:age 0def shilifangfa(self):print(self)print(…

多列等高实现

预期效果 多列等高,左右两列高度自适应且一样,分别设置不同背景色效果预览: 分别由6种方法实现 1、使用padding + margin + overflow 实现多列等高效果,具有良好的兼容性; 2、border实现多列等高,左边框宽度为200px,左列浮动,伪元素清除浮动; 3、父元素线性渐变背景色…

基于web的酒店客房管理系统

目录 前言 一、技术栈 二、系统功能介绍 用户信息管理 会员信息管理 客房信息管理 收藏客房管理 用户入住管理 客房清扫管理 三、核心代码 1、登录模块 2、文件上传模块 3、代码封装 前言 随着信息技术在管理上越来越深入而广泛的应用&#xff0c;管理信息系统的实施…

【数据结构】算法效率的度量方法

&#x1f984;个人主页:修修修也 &#x1f38f;所属专栏:数据结构 ⚙️操作环境:Visual Studio 2022 目录 &#x1f38f;事后统计方法 &#x1f38f;事前分析估算方法 &#x1f38f;函数的渐进式增长 结语 在上篇文章中我们提到了算法的设计要求中我们要尽量满足时间效率高…

Tomcat和HPPT协议

1.介绍 1.Java EE 规范 JavaEE&#xff08;java Enterprise Edition&#xff09;&#xff1a;java企业版 JavaEE 规范是很多的java开发技术的总称。这些技术规范都是沿用自J2EE的。一共包括了13个技术规范 2.WEB概述 WEB在计算机领域中代表的是网络 像我们之前所用的WWW&…

Excel往Word复制表格时删除空格

1.背景 在编写文档&#xff0c;经常需要从Excel往Word里复制表格 但是复制过去的表格前面会出现空格&#xff08;缩进&#xff09; 再WPS中试了很多方法&#xff0c;终于摆脱了挨个删除的困扰 2. WPS排版中删除 选择表格菜单栏-选在【开始】-【排版】选择【更多段落处理】-【段…