FIFO Generate IP核使用——AXI接口FIFO简介

AXI接口FIFO是从Native接口FIFO派生而来的。AXI内存映射接口提供了三种样式:AXI4、AXI3和AXI4-Lite。除了Native接口FIFO支持的应用外,AXI FIFO还可以用于AXI系统总线和点对点高速应用。

AXI接口FIFO不支持Builtin FIFO和 Shift Register FIFO配置。

当需要连接到其他AXI功能时,可以在Native接口FIFO支持的相同应用中使用AXI FIFO。AXI FIFO可以通过IP集成器集成到系统中。

1 AXI FIFO的功能

AXI FIFO的功能概述主要体现在其对AXI Memory Mapped接口中读写通道的便捷集成能力上。

1.1 读写通道的分离

对于AXI Memory Mapped接口,AXI规范定义了写通道和读通道。
写通道包括写地址通道、写数据通道和写响应通道。
读通道包括读地址通道和读数据通道。

FIFO Generate IP核提供了为AXI Memory Mapped生成单独的写通道或读通道,或者同时生成写通道和读通道的能力。如下图所示。
在这里插入图片描述
对于写通道,集成了三个FIFO:一个用于写地址通道,一个用于写数据通道,以及一个用于写响应通道。
对于读通道,集成了两个FIFO:一个用于读地址通道,一个用于读数据通道。
当同时选择写通道和读通道时,FIFO Generate IP核将集成五个独立的FIFO。

1.2 每个通道的独立配置

对于每个通道,FIFO Generate IP核都可以独立配置以生成基于Block RAM或Distributed RAM FIFO。每个FIFO的深度也可以独立配置,以满足特定应用的数据缓存需求。如下图所示。
在这里插入图片描述
AXI FIFO的使用有助于简化高速数据传输和处理的系统设计,因为它们能够与AXI总线标准无缝集成,提供高吞吐量和低延迟的数据传输能力。这使得AXI FIFO成为高性能计算、图像处理、视频传输等领域的理想选择。

2 AXI FIFO的时序

AXI接口协议使用双向的有效(Valid)和就绪(Ready)握手机制。信息源使用有效信号来表示通道上何时有有效的数据或控制信息可用。信息目标使用就绪信号来表示何时可以接受数据。图1-3展示了AXI4-Stream FIFO的写和读操作的示例时序图,而图1-4则展示了AXI Memory Mapped 接口FIFO的写和读操作的示例时序图。
在这里插入图片描述
在这里插入图片描述
在图1-3和图1-4中,信息源生成有效信号(valid)以指示数据何时可用。目标生成就绪信号(ready)以指示它可以接受数据,并且只有当有效信号和就绪信号都为高时,才会发生数据传输。

对于AXI4-Stream FIFO,写操作和读操作通常涉及连续的数据流传输。在图1-3中,看到写操作和读操作的时序图,显示了有效信号和就绪信号如何协同工作以完成数据传输。

而对于AXI Memory Mapped接口FIFO,写操作和读操作通常与特定的内存地址相关联。在图1-4中,看到写地址通道、写数据通道、写响应通道以及读地址通道和读数据通道的时序图。这些通道协同工作,通过有效和就绪信号的握手机制,确保数据在源和目标之间的正确传输。

由于AXI FIFO是从Native接口FIFO派生而来的,因此它们之间的很多行为是相似的。就绪信号是基于FIFO中可用空间来生成的,当FIFO中有空间时,就绪信号保持高电平以允许写入FIFO。只有当FIFO中没有剩余空间进行额外的写入操作时,就绪信号才会被拉低。有效信号则是基于FIFO中数据的可用性来生成的,当FIFO中有数据可供读取时,有效信号保持高电平以允许从FIFO中读取数据。只有当FIFO中没有可供读取的数据时,有效信号才会被拉低。这些信息信号被映射到Native 接口FIFO的din和dout总线上。AXI FIFO的宽度是通过连接AXI接口的所有信息信号来确定的。这些信息信号包括除有效和就绪握手信号之外的所有AXI信号。

在AXI协议中,这种握手机制确保了数据在源和目标之间的可靠传输。当源设备准备好发送数据时,它会将有效信号置高,表示数据已经准备好。然后,目标设备会检查就绪信号。只有当就绪信号也为高时,目标设备才会从源设备接收数据。这种机制确保了数据在传输过程中不会被丢失或发生错误。

AXI FIFO仅在First Word Fall Through模式下运行。该功能提供了在不执行读取操作的情况下查看FIFO中下一个可用字的能力。当FIFO中有数据时,第一个字会自动通过FIFO并出现在输出数据总线上。

注意:对于AXI接口,由于复位输入总是异步的,因此在内核内部会自动启用安全电路。

3 AXI FIFO的直通线(Pass Through Wire)

AXI Memory Mapped接口的IP核提供了直通线选项,使得所有输入信号能够直接传递到输出,而无需经过任何处理或缓存。这在某些情况下可能很有用,比如当您希望将信号直接转发到另一个接口或组件时。

3.1 数据包FIFO(Packet FIFO)

数据包FIFO配置确保了在接收到数据包(突发传输)的末尾(LAST节拍)之前,不会开始数据包的传输。这样做可以确保一旦主设备侧开始传输,数据就能不间断地可用,从而避免了AXI数据通道的源端停滞。这对于数据起源于主设备的应用场景特别有价值。
例如,当实时信号通道以低于下游AXI交换机和/或从设备目的地的数据速率运行时,如高带宽内存,这种配置就非常有用。

数据包FIFO原理既适用于AXI4/AXI3 Memory Mapped突发事务(写入和读取),也适用于AXI4-Stream数据包传输。这个特性有时被称为“store-and-forward”,它描述了内存映射写入和流传输的行为。对于内存映射读取,事务会延迟到FIFO中有足够的空位来确保整个读取数据包能够不间断地缓冲,这是根据AR(地址读取)通道事务的预测进行的。读取事务实际上并不依赖RLAST信号。

数据包FIFO特性支持公共时钟AXI4/AXI3和公共/独立时钟AXI4-Stream配置。它不支持AXI4-Lite配置。

3.2 AXI4-Stream Packet FIFO

AXI4-Stream Packet FIFO和AXI4/AXI3 Packet FIFO是两种不同类型的FIFO,它们分别使用AXI4-Stream接口和AXI Memory Mapped接口来实现数据包FIFO的功能。

AXI4-Stream Packet FIFO使用AXI4-Stream接口。当在AXI4-Stream Slave侧接收到一个完整的数据包(由tlast标记)或AXI4-Stream FIFO已满时,FIFO Generate IP核会在AXI4-Stream Master侧指示tvalid。由于FIFO变满而在Master侧指示tvalid是一个异常情况,在这种情况下,Packet FIFO会作为一个正常的FWFT(First Word Fall Through)FIFO工作,将Slave侧接收到的数据转发到Master侧,直到在Slave侧接收到tlast。

3.3 AXI4/AXI3 Packet FIFO

AXI4/AXI3 Packet FIFO 使用AXI Memory Mapped接口,它支持AXI协议中的写(W)和读(R)通道以及相关的地址(AW/AR)通道。Packet FIFO允许在数据传输过程中存储完整的数据包,直到数据包被完全接收或发送。

写通道上的Packet FIFO
当在AXI W通道Slave侧接收到一个由wlast标记的完整数据包时,FIFO Generate IP核会在AXI AW通道Master侧指示awvalid。这意味着写地址(AW)的传输只有在W通道Slave侧接收到请求传输所需的所有数据后才会被发送到AXI Write Address Channel。
写通道Packet FIFO与写地址通道(AW通道)耦合,这确保了在发送写请求之前,有足够的空间来存储与该请求相关的所有数据。
W通道的最小深度被设置为512,这允许写通道Packet FIFO存储两个最大长度的数据包。

读通道上的Packet FIFO
当在AXI R通道Master侧接收到一个由rlast标记的完整数据包时,FIFO Generate IP核会在AXI R通道Slave侧指示rvalid。这意味着读数据的传输(通过R通道)已经完成,并且整个数据包已经被成功接收。
读通道Packet FIFO与读地址通道(AR通道)耦合,这确保了在发送读请求之前,Packet FIFO中有足够的空间来存储与该请求相关的数据。如果Packet FIFO中没有足够的空间,则不会向AXI Read Address Channel发送AR传输。
R通道的最小深度也被设置为512,允许读通道Packet FIFO存储两个最大长度的数据包。

这种机制允许数据包在传输过程中被缓存,确保数据传输的连续性和完整性,同时避免由于数据不匹配或丢失而导致的错误。这对于需要处理大量数据或需要确保数据完整性的应用场景(如高速数据传输或实时信号处理)非常有用。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/diannao/6324.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

WebSocket 多屏同显和异显

介绍 多屏同显:通过在一个应用上进行操作之后,另一个应用也能跟着一起发生改变,例如app1播放了晴天这首音乐,那么app2也要同步播放这首音乐,确保所有屏幕显示的内容完全相同。多屏异显:每个屏幕可以显示不同的内容,或者在内容更新时存在一定的延迟,而不需要严格保持同步…

专业渗透测试 Phpsploit-Framework(PSF)框架软件小白入门教程(四)

本系列课程,将重点讲解Phpsploit-Framework框架软件的基础使用! 本文章仅提供学习,切勿将其用于不法手段! 继续接上一篇文章内容,讲述如何进行Phpsploit-Framework软件的基础使用和二次开发。 当我们牢记登陆账户、…

题目:线性代数

问题描述: 解题思路: 列相乘,然后行相加。 注意点:由于元素数据范围最大为1e6,两个元素相乘乘积最大为1e12,如果元素类型为int则在乘的过程中就会爆炸,所以需要开long long类型。 AC代码…

Redis---------分布式锁Redisson

概述 Redisson入门 第一步&#xff1a;引入依赖 <dependency><groupId>org.redisson</groupId><artifactId>redisson</artifactId><version>3.13.6</version></dependency> 第二步&#xff1a;配置文件 import org.redisson…

MapReduce概述

批处理模式 首先我们需要先了解一个概念&#xff1a;批处理模式 批处理模式是一种最早进行大规模数据处理的模式。 批处理非常适合需要访问整个数据集合才能完成的计算工作。 批处理主要操作大规模静态数据集,并在整体数据处理完毕后返回结果。 例如,在计算总数和平均数时,必须…

基于小程序实现的投票评选系统

作者主页&#xff1a;Java码库 主营内容&#xff1a;SpringBoot、Vue、SSM、HLMT、Jsp、PHP、Nodejs、Python、爬虫、数据可视化、小程序、安卓app等设计与开发。 收藏点赞不迷路 关注作者有好处 文末获取源码 技术选型 【后端】&#xff1a;Java 【框架】&#xff1a;spring…

MySQL①——数据库与表格的创建

今日任务&#xff1a; 创建一个名为“db_classes”的数据库 创建一行名为“db_hero”的表 将四大名著中的常见人物插入这个英雄表 数据库的创建与删除 create 命令&#xff08;创建&#xff09;&#xff1a; create database 数据库名&#xff1b;#参数默认create database …

制冷用气液分离器介绍

一、什么是气液分离器&#xff1f; 制冷系统作为一个整体除了四大件&#xff08;压缩机、冷凝器、节流装置、蒸发器&#xff09;以外还有很多附属部件&#xff0c;如今天要介绍的——气液分离器。 什么是气液分离器&#xff1f; 汽液分离器的主要作用是&#xff1a;在启动、…

WAAP动态安全解决方案

随着企业数字化进程不断加速&#xff0c;应用安全面临多重威胁&#xff0c;新型攻击方式层出不穷&#xff0c;常见的攻击形式包括Web应用攻击、DDoS攻击、API攻击、恶意爬虫攻击等。企业正面临严峻的安全防护挑战&#xff0c;需寻找一个可靠、全面的安全解决方案。在此情况下&a…

指标完成情况对比查询sql

指标完成情况对比查询sql 1. 需求 2. SQL select--部门dept.name as bm,--年度指标任务-新签&#xff08;万元&#xff09;ndzbwh.nxqndzbrw as nxqndzbrw,--年度指标任务-收入&#xff08;万元&#xff09;ndzbwh.nsrndzbrw as nsrndzbrw,--年度指标任务-回款&#xff08;万…

buuctf-misc-27.面具下的flag

27.面具下的flag 题目&#xff1a;binwalk分离后&#xff0c;解压vmdk文件,对其中的字符进行翻译 将其放到kali中进行binwalk,可以看到有有隐藏的压缩包文件&#xff0c;我们提取一下 文件放到了主目录下&#xff0c;我们使用对应命令发现有zip文件&#xff0c;然后再使用对应…

Linux POSIX消息队列遇到的问题和使用方法

目录 一、开发环境及消息队列介绍二、问题描述三、解决办法四、测试代码 一、开发环境及消息队列介绍 开发板&#xff1a;nuc980 1.ARM Linux中消息队列的原理   在ARM Linux中&#xff0c;消息队列是通过POSIX&#xff08;Portable Operating System Interface&#xff09…

C++仿函数周边及包装器

我最近开了几个专栏&#xff0c;诚信互三&#xff01; > |||《算法专栏》&#xff1a;&#xff1a;刷题教程来自网站《代码随想录》。||| > |||《C专栏》&#xff1a;&#xff1a;记录我学习C的经历&#xff0c;看完你一定会有收获。||| > |||《Linux专栏》&#xff1…

ASP.NET网络在线考试系统

摘 要 随着计算机技术的发展和互联网时代的到来&#xff0c;人们已经进入了信息时代&#xff0c;也有人称为数字化时代。数在数字化的网络环境下&#xff0c;学生希望得到个性化的满足&#xff0c;根据自己的情况进行学习&#xff0c;同时也希望能够得到科学的评价&#xff0c…

小红书爬虫GUI软件 | API接口封装 | 根据笔记链接批量采集笔记详情,含笔记正文内容、发布时间、转评赞藏等

一、背景介绍 1.1 爬取目标 我用python开发的采集软件&#xff0c;可自动按笔记链接抓取笔记的详情数据。 为什么有了源码还开发界面软件呢&#xff1f;方便不懂编程代码的小白用户使用&#xff0c;无需安装python&#xff0c;无需改代码&#xff0c;双击打开即用&#xff0…

pytorch笔记:ModuleDict

1 介绍 在 PyTorch 中&#xff0c;nn.ModuleDict 是一个方便的容器&#xff0c;用于存储一组子模块&#xff08;即 nn.Module 对象&#xff09;的字典这个容器主要用于动态地管理多个模块&#xff0c;并通过键来访问它们&#xff0c;类似于 Python 的字典 2 特点 组织性 nn…

恶补《操作系统》4_2——王道学习笔记

4.1_5 文件存储空间管理 1、存储空间的划分与初始化 文件卷&#xff08;逻辑卷&#xff09;的概念目录区与文件区 2、几种管理方法 空闲表法&#xff1a;首位置长度&#xff0c;回收时注意修改空闲链表法&#xff08;空闲盘块链、空闲盘区链&#xff09;位示图法 成组链接法…

深度学习500问——Chapter08:目标检测(6)

文章目录 8.3.7 RetinaNet 8.3.7 RetinaNet 研究背景 Two-Stage 检测器&#xff08;如Faster R-CNN、FPN&#xff09;效果好&#xff0c;但速度相对慢。One-Stage 检测器&#xff08;如YOLO、SSD&#xff09;速度快&#xff0c;但效果一般。 作者对one-stage检测器准确率不高…

视频编辑软件pitivi基本功之将三个相关视频合并成一个视频

视频编辑软件pitivi基本功之将三个相关视频合并成一个视频 一、素材来源&#xff1a;网站下载 到http://cpc.people.com.cn/GB/67481/435238/437822/437828/437900/index.html下载以下三个视频&#xff0c;鼠标右击视频——另存视频为 庆祝中国共产党成立100周年大会即将开始—…

基于yolov8的苹果腐败检测系统,系统既支持图像检测,也支持视频和摄像实时检测(pytorch框架)【python源码+UI界面+功能源码详解】

更多目标检测和图像分类识别项目可看我主页其他文章 功能演示&#xff1a; 基于yolov8的苹果腐败检测系统&#xff0c;系统既支持图像检测&#xff0c;也支持视频和摄像实时检测_哔哩哔哩_bilibili &#xff08;一&#xff09;简介 基于yolov8的苹果腐败检测系统是在pytorc…