27.数码管的驱动,使用74HC595移位寄存器芯片

PS:升腾A7pro系列FPGA没有数码管外设,因此以AC620FPGA为例展开实验。

(1)共阳极数码管和共阴极数码管示意图:

  • AC620中的数码管属于共阳极数码管,段选端口(dp,g,f,e,d,c,b,a)低电平即可点亮led。
  • 人眼的视觉停留效应:当led以小于20ms的时间间隔进行闪烁时,在人眼看来,就是一直亮着的。
  • 预使8个数码管实现动态扫描的效果,需要扫描间隔小于20ms/8 =2.5ms,不妨取1ms。
  • 第一毫秒位选sel[0]高电平,其余sel[0:6]低电平;第二毫秒位选sel[1]高电平,其余sel[0]、sel[2:6]低电平,依次循环。

(2)段选、位选Verilog代码:

module hex(clk,reset_n,data,sel,seg);input clk;
input reset_n;
input [31:0]data;output reg[7:0]sel;
output reg[7:0]seg;//1ms = 1_000_000ns = 20ns * 50_000;
reg [15:0]cnt;
reg [2:0]sel_cnt;
reg [3:0]temp_data;
reg [7:0]r_sel;parameter MCNT = 49_999;//1ms计数器模块
always@(posedge clk or negedge reset_n)if(!reset_n)cnt <= 16'd0;else if(cnt == MCNT)cnt <= 16'd0;else cnt <= cnt + 16'd1;//sel_cnt计数器模块设计
always@(posedge clk or negedge reset_n)if(!reset_n)sel_cnt <= 3'd0;else if(cnt == MCNT)sel_cnt <= sel_cnt + 3'd1;else sel_cnt <= sel_cnt;//r_sel信号设计
always@(posedge clk or negedge reset_n)if(!reset_n)r_sel <= 8'h01;else begincase(sel_cnt)3'd0: r_sel <= 8'h01;3'd1: r_sel <= 8'h02;3'd2: r_sel <= 8'h04;3'd3: r_sel <= 8'h08;3'd4: r_sel <= 8'h10;3'd5: r_sel <= 8'h20;3'd6: r_sel <= 8'h40;3'd7: r_sel <= 8'h80;endcaseend//sel信号设计    打一拍的目的是为了和seg信号同步
always@(posedge clk)sel <= r_sel;//temp_data信号设计
always@(posedge clk or negedge reset_n)if(!reset_n)temp_data <= 4'd0;else begincase(sel_cnt)3'd0:temp_data <= data[3:0];3'd1:temp_data <= data[7:4];3'd2:temp_data <= data[11:8];3'd3:temp_data <= data[15:12];3'd4:temp_data <= data[19:16];3'd5:temp_data <= data[23:20];3'd6:temp_data <= data[27:24];3'd7:temp_data <= data[31:28];endcaseend//seg信号设计
always@(posedge clk or negedge reset_n)if(!reset_n)seg <= 8'hff;else begincase(temp_data)4'h0:seg <= 8'b1100_0000;4'h1:seg <= 8'b1111_1001;4'h2:seg <= 8'b1010_0100;4'h3:seg <= 8'b1011_0000;4'h4:seg <= 8'b1001_1001;4'h5:seg <= 8'b1001_0010;4'h6:seg <= 8'b1000_0010;4'h7:seg <= 8'b1111_1000;4'h8:seg <= 8'b1000_0000;4'h9:seg <= 8'b1001_0000;4'ha:seg <= 8'b1000_1000;4'hb:seg <= 8'b1000_0011;4'hc:seg <= 8'b1100_0110;4'hd:seg <= 8'b1010_0001;4'he:seg <= 8'b1000_0110;4'hf:seg <= 8'b1000_1110;endcaseendendmodule

(3)对应仿真文件代码:

`timescale 1ns/1nsmodule hex_tb;reg clk;reg reset_n;reg [31:0]data;wire [7:0]sel;wire [7:0]seg;hex hex_inst(.clk(clk),.reset_n(reset_n),.data(data),.sel(sel),.seg(seg));defparam hex_inst.MCNT = 499;initial clk = 1'd1;always #10 clk = ~clk;initial beginreset_n <= 1'd0;#25;reset_n <= 1'd1;#15;data <= 32'h00112233;#200_000;data <= 32'h44556677;#200_000;data <= 32'h8899aabb;#200_000;data <= 32'hccddeeff;#200_000;$stop;endendmodule

(4)仿真波形:

(5)74HC595芯片:

74HC595芯片内部工作原理:

  • 先传段选,再传位选,先传高位,再传低位。(段位高低)
  • 74HC595芯片在3.3v工作电压下,可以承受的工作频率为12.5MHz。

(6)74HC595驱动代码:

module hc595_driver(clk,reset_n,sel,seg,DIO,SCLK,RCLK);input clk;
input reset_n;
input [7:0]sel;
input [7:0]seg;output reg DIO;
output reg SCLK;
output reg RCLK;//74HC595芯片在3.3v工作电压下,可以承受的工作频率为12.5MHz,对应一个周期为80ns,半个周期为两个系统时钟周期
reg div_cnt;
reg [4:0]bit_cnt;//分频计数器设计
always@(posedge clk or negedge reset_n)if(!reset_n)div_cnt <= 1'd0;else div_cnt <= div_cnt + 1'd1;//bit_cnt设计
always@(posedge clk or negedge reset_n)if(!reset_n)bit_cnt <= 5'd0;else if(div_cnt == 1'd1)bit_cnt <= bit_cnt + 5'd1;else bit_cnt <= bit_cnt;//序列机设计
always@(posedge clk or negedge reset_n)if(!reset_n)beginDIO <= 1'd0;RCLK <= 1'd0;SCLK <= 1'd0;endelse if(div_cnt == 1'd1)begincase(bit_cnt)5'd0:	begin DIO <= seg[7];SCLK <= 1'd0;RCLK <= 1'd1;end5'd1:	begin SCLK <= 1'd1;RCLK <= 1'd0;end5'd2:	begin DIO <= seg[6];SCLK <= 1'd0;end5'd3:	begin SCLK <= 1'd1;end5'd4:	begin DIO <= seg[5];SCLK <= 1'd0;end5'd5:	begin SCLK <= 1'd1;end5'd6:	begin DIO <= seg[4];SCLK <= 1'd0;end5'd7:	begin SCLK <= 1'd1;end5'd8:	begin DIO <= seg[3];SCLK <= 1'd0;end5'd9:	begin SCLK <= 1'd1;end5'd10:begin DIO <= seg[2];SCLK <= 1'd0;end5'd11:begin SCLK <= 1'd1;end5'd12:begin DIO <= seg[1];SCLK <= 1'd0;end5'd13:begin SCLK <= 1'd1;end5'd14:begin DIO <= seg[0];SCLK <= 1'd0;end5'd15:begin SCLK <= 1'd1;end5'd16:begin DIO <= sel[7];SCLK <= 1'd0;end5'd17:begin SCLK <= 1'd1;end5'd18:begin DIO <= sel[6];SCLK <= 1'd0;end5'd19:begin SCLK <= 1'd1;end5'd20:begin DIO <= sel[5];SCLK <= 1'd0;end5'd21:begin SCLK <= 1'd1;end5'd22:begin DIO <= sel[4];SCLK <= 1'd0;end5'd23:begin SCLK <= 1'd1;end5'd24:begin DIO <= sel[3];SCLK <= 1'd0;end5'd25:begin SCLK <= 1'd1;end5'd26:begin DIO <= sel[2];SCLK <= 1'd0;end5'd27:begin SCLK <= 1'd1;end5'd28:begin DIO <= sel[1];SCLK <= 1'd0;end5'd29:begin SCLK <= 1'd1;end5'd30:begin DIO <= sel[0];SCLK <= 1'd0;end5'd31:begin SCLK <= 1'd1;endendcaseendelse beginDIO <= DIO;RCLK <= RCLK;SCLK <= SCLK;endendmodule

(7)顶层代码:

module hex_top(clk,reset_n,sw,DIO,SCLK,RCLK);input clk;input reset_n;input [3:0]sw;output DIO;output SCLK;output RCLK;reg [31:0]data;wire [7:0]sel;wire [7:0]seg;hex hex_inst(.clk(clk),.reset_n(reset_n),.data(data),.sel(sel),.seg(seg));hc595_driver hc595_driver_inst(.clk(clk),.reset_n(reset_n),.sel(sel),.seg(seg),.DIO(DIO),.SCLK(SCLK),.RCLK(RCLK));//data设计always@(posedge clk or negedge reset_n)if(!reset_n)data <= 32'd0;else begincase(sw)4'h1:data <= 32'h76543210;4'h2:data <= 32'hfedcba98;4'h4:data <= 32'h98765432;4'h8:data <= 32'h12345678;default:data <= 32'h00000000;endcaseendendmodule

(8)引脚绑定:

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/diannao/46394.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

连锁直营店小程序赋能多店如何管理

如商超便利店卖货线下场景&#xff0c;也有不少品牌以同城多店和多地开店经营为主&#xff0c;获取店铺周围客户和散流&#xff0c;如今线上重要性凸显&#xff0c;品牌电商发展是经营的重要方式之一&#xff0c;也是完善同城和外地客户随时便捷消费的方式之一。 多个门店管理…

抖音视频图文根据ID获得评论信息网站源码

抖音视频图文根据ID获得评论信息单页源码&#xff0c;id是视频的id&#xff0c;可以自定义第几条评论开始&#xff0c;不填默认为0&#xff0c;评论数量最大数量50&#xff0c;默认是20。 接口返回参数&#xff1a; "comments": 评论信息集合 { "uid": 评…

原创音乐小程序的设计

管理员账户功能包括&#xff1a;系统首页&#xff0c;个人中心&#xff0c;用户管理&#xff0c;歌曲类型管理&#xff0c;歌曲信息管理&#xff0c;热门歌手管理&#xff0c;音乐资讯管理&#xff0c;系统管理 微信端账号功能包括&#xff1a;系统首页&#xff0c;歌曲信息&a…

数学建模·Topsis优劣解距离法

Topsis优劣解 一种新的评价方法&#xff0c;特点就是利用原有数据&#xff0c;客观性强。 相较于模糊评价和层次评价 更加客观&#xff0c;充分利用原有数据&#xff0c;精确反映方案差距 基本原理 离最优解最近&#xff0c;离最劣解越远 具体步骤 正向化 代码与原理与熵权…

链接追踪系列-08.mac m1安装logstash-番外

下载地址&#xff1a;https://elasticsearch.cn/download/ 配置es相关&#xff1a; #安装plugin&#xff1a; jelexbogon bin % ./logstash-plugin install logstash-codec-json_lines启动&#xff1a;指定配置文件运行 jelexbogon bin % nohup ./logstash -f ../config…

leetcode 513. 找树左下角的值

给定一个二叉树的 根节点 root&#xff0c;请找出该二叉树的 最底层 最左边 节点的值。 假设二叉树中至少有一个节点。 示例 1: 输入: root [2,1,3] 输出: 1示例 2: 输入: [1,2,3,4,null,5,6,null,null,7] 输出: 7提示: 二叉树的节点个数的范围是 [1,104]-231 < Node.val &…

张雪峰高考志愿填报

描述 张雪峰&#xff0c;一个富有才华的老师&#xff01; 对于大家的学习有不可多得的帮助。 内容 目前主要的内容以自愿填报为主&#xff0c;对于学习自愿填报有比较大的帮助&#xff01; 但是网络上面错综复杂&#xff0c;很多老旧的版本影响学习&#xff01; 而这里我整…

vue3 快速入门 (一) : 环境配置与搭建

1. 本文环境 Vue版本 : 3.4.29Node.js版本 : v20.15.0系统 : Windows11 64位IDE : VsCode 2. 安装Node.Js 首先&#xff0c;我们需要安装Node.Js。Node.js提供了运行 JavaScript 代码的环境。并且Node.js 带来了 npm&#xff0c;它是JavaScript世界的包管理工具。开发vue时&…

使用Copilot 高效开发繁忙的一天

在现代软件开发的世界里&#xff0c;使用AI工具如GitHub Copilot可以显著提高开发效率。 早晨&#xff1a;规划与启动 7:00 AM - 起床与准备 开发者早早起床&#xff0c;享用健康的早餐&#xff0c;并浏览新闻和技术博客&#xff0c;了解最新的科技动态。快速整理思路&#x…

MICS2024|少样本学习、多模态技术以及大语言模型在医学图像处理领域的研究进展|24-07-14

小罗碎碎念 本期推文主题 今天的会议很多主题都集中在大模型、多模态这两个方面&#xff0c;很明显&#xff0c;这两个方向都是目前的研究热点。 所以&#xff0c;我这一期推文会先简单的分析一下秦文健&#xff08;中科院&#xff09;和史淼晶&#xff08;同济大学&#xff09…

【STM32开发笔记】搭建VSCode+PyOCD的STM32开发环境

【STM32开发笔记】搭建VSCodePyOCD的STM32开发环境 一、安装软件1.1 安装STM32CubeMX1.2 安装VSCode1.3 安装Arm GNU Toolchain1.4 安装Make for Windows1.5 安装Python1.6 安装PyOCD 二、安装插件2.1 VSCode插件2.2 PyOCD支持包 三、创建项目3.1 创建STM32CubeMX项目3.2 查阅原…

口袋算法的示例

原理 口袋算法是感知器(Perceptron)算法的一种改进。感知器算法是一种线性分类算法,但在训练数据不是线性可分的情况下,它可能无法收敛,即无法找到一个线性分类器来正确分类所有的训练样本。为了解决这个问题,口袋算法引入了一个"口袋"(Pocket),用来存储迄…

【Java】字符与字符串

文章目录 1.字符char1.1 编码1.2 转义字符1.3 char的类型转换1.4 字符的比较1.5 Character类 2.String类型2.1 String对象常用的方法&#xff1a;2.2 从控制台读取字符串2.3 从控制台读取字符2.4 字符串的比较2.5 子串和字符2.6 字符串的转化2.7 格式化控制台输出 1.字符char …

IOT 可编程控制系统

IOT&#xff08;物联网&#xff09;可编程控制系统&#xff0c;如GF-MAXCC等&#xff0c;是一种集成了多种先进技术和功能的智能化控制设备&#xff0c;它能够在物联网系统中发挥关键作用&#xff0c;实现对多种设备的集中管理和控制。具体来说&#xff0c;IOT可编程控制系统的…

【算法专题】双指针算法之 1089.复写零(力扣)

欢迎来到 CILMY23的博客 &#x1f3c6;本篇主题为&#xff1a;双指针算法之 1089.复写零&#xff08;力扣&#xff09; &#x1f3c6;个人主页&#xff1a;CILMY23-CSDN博客 &#x1f3c6;系列专栏&#xff1a;Python | C | C语言 | 数据结构与算法 | 贪心算法 | Linux | 算…

2024版彩虹晴天全能知识付费源码+虚拟商城解决方案 含一键搭建视频教程 无授权限制

是一款知识付费平台的核心&#xff0c;更是集虚拟商城、实物交易、代理分销于一体的全能解决方案。 核心亮点&#xff1a; 最新源码&#xff0c;独家首发&#xff1a;经过精心修复与更新&#xff0c;本源码由我们团队亲自把关&#xff0c;确保您获得的是市场上最新鲜、最稳定…

DBA 数据库管理 表管理 数据批量处理。表头约束

表管理 建库 库名命名规则&#xff1a;仅可以使用数字、字母、下划线、不能纯数字 不可使用MySQL命令或特殊字符 库名区分字母大小写 加if not exists 命令避免重名报错 create database if not exists gamedb; 建表 drop database if exists gamedb ; 删表…

【论文阅读】LLM4GCL: CAN LARGE LANGUAGE MODEL EMPOWER GRAPH CONTRASTIVE LEARNING?

LLM4GCL: CAN LARGE LANGUAGE MODEL EMPOWER GRAPH CONTRASTIVE LEARNING? https://openreview.net/forum?idwxClzZdjqP 图对比学习的重点就是图数据的增强&#xff0c;针对图中节点的表示或者图的结构进行扰动&#xff0c;通过对比学习得到对应的节点表示&#xff0c;以便于…

Kafka基础入门-代码实操

Kafka是基于发布/订阅模式的消息队列&#xff0c;消息的生产和消费都需要指定主题&#xff0c;因此&#xff0c;我们想要实现消息的传递&#xff0c;第一步必选是创建一个主题&#xff08;Topic&#xff09;。下面我们看下在命令行和代码中都是如何创建主题和实现消息的传递的。…

【Linux 配置静态IP】Ubuntu20.04

最近学习网络编程&#xff0c;为了方便学习需要Ubuntu配置静态IP&#xff0c;网上看了好多贴子跟着试了下可以实现&#xff0c;但重启虚拟机后有时就无法连接&#xff0c;总之各种各样问题&#xff1b;相关的配置方法也比较凌乱&#xff0c;有用netplan 或者 ifupdown ,笔者简单…