【网络安全常用术语解读 :什么是0day、1day、nday漏洞】

脆弱性攻击的时间窗被称作脆弱性窗口。通常情况下,一个安全漏洞的时间越久,攻击者就会有更多的机会去攻击它。

2. 0day 漏洞

0天漏洞,也被称作"零日漏洞",是指尚未由供应商公布的缺陷,表示攻击者已知晓该缺陷,并加以使用。由于没有做好防御工作,0天的弱点将会对系统的安全性造成很大的威胁。

0 day缺陷是由一小部分人发现的,只有少部分人知道该缺陷的使用细节,其余的人对此一无所知,也就是还没有发布。

2018年6月7号, Adobe修正了一个叫做CVE-2018-5002的零日性缺陷,这个缺陷是由一个恶意人士利用的,以中东为目标的黑客攻击。

3. 1day 漏洞

在“零日攻击”被发现和公布之后,在没有修补程序的情况下(通常是一天),按照惯例,这一缺陷就会被认为是1天。

1天缺陷是指当一个缺陷向公众公布后,有关的软件或者系统的开发商会在一天之内将该缺陷修补好。尽管该缺陷已被公之于众,但是该软件的开发商还是在其发布的当天就放出补丁,以降低黑客对该缺陷的利用几率。与0天弱点相比,1天弱点能更快速地反应并修正系统的安全。

微软的安全中心吸引了众多的安全人员和骇客。由于微软在每月的第2个周二才会更新一个补丁,因此也被称作“补丁周二”,很多黑客都在熬夜研究修补过的漏洞,然后编写 EXP,因此也被称作“漏洞星期三”。

4. nday 漏洞

nday缺陷是一个已被公众所知、修补过的补丁已经发行了 n天,但是因为各种各样的原因,受害人还没有得到修补。在此, n可以是一个比1更大的整数,它代表了修补程序发行的延迟。nday脆弱性的持续时间较长,给攻击者提供了更多的可乘之机。为了降低系统遭受攻击的危险,开发人员应该尽早修补 nday漏洞。

1天攻击和 n天攻击均来源于0天的弱点。

例如,微软在 WannaCry事件发生前,就已经做好了修复工作,但是直到现在,它还是被黑客们不断地攻击着。

5. 总结

总结起来,0 day漏洞是一个漏洞向公众公布后,立即被攻击者利用的一个漏洞,1天时间漏洞代表一个修补程序的缺陷,而 nday漏洞代表一个修补时间较晚的缺陷。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/diannao/32642.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

FlowUs2024重磅革新预告:RAG技术赋能『问问AI』,笔记变现新纪元等你开启!

🎉 在FlowUs的广阔天地间,知识的边界被无限拓展,引领着一场场创新与收获的庆典!🚀 随着一年间不断的精进与革新,FlowUs与众多用户并肩前行,在追求极致体验的道路上迈出坚实步伐。步入2024年&am…

WordPress项目教程:自动采集并发布,让你轻松实现网站内容更新

随着互联网的发展,越来越多的人开始关注自己的个人网站,通过网站展示自己的才华、分享知识、推广产品等。然而,个人网站的运营并非易事,尤其是内容更新方面。为了解决这个问题,今天我们将为大家推荐一款WordPress插件主…

minio直接通过地址访问无需服务器转发

背景 做网站有些图片、视频、js等资源,没有什么变化,想在网站上直接使用,前端拿到地址可直接访问获得,而不是通过后台转一道再获得,折腾了半天访问不到,从网上找资料挨个试,也没完全解决&#…

云计算期末综合测试题

云计算综合测试题 单选题填空题判断题简答题 单选题 这里选择题,直接以填空题展示,并给出解析 Bigtable是(Google)开发的分布式存储系统 解析:分布式结构化数据表Bigtable是Google基于GFS和Chubby开发的分布式存储系统…

君子小人的格局、境界

子曰:君子怀德,小人怀土;君子怀刑,小人怀惠。 直译:君子怀念道德,小人怀念乡土;君子关心法度,小人关心恩惠。 这里的君子与小人只是体现格局、境界的不同; 君子怀的是德…

DVWA 靶场 SQL Injection (Blind) 通关解析

前言 DVWA代表Damn Vulnerable Web Application,是一个用于学习和练习Web应用程序漏洞的开源漏洞应用程序。它被设计成一个易于安装和配置的漏洞应用程序,旨在帮助安全专业人员和爱好者了解和熟悉不同类型的Web应用程序漏洞。 DVWA提供了一系列的漏洞场…

sklearn之各类朴素贝叶斯原理

sklearn之贝叶斯原理 前言1 高斯朴素贝叶斯1.1 对连续变量的处理1.2 高斯朴素贝叶斯算法原理 2 多项式朴素贝叶斯2.1 二项分布和多项分布2.2 详细原理2.3 如何判断是否符合多项式贝叶斯 3 伯努利朴素贝叶斯4 类别贝叶斯4 补充朴素贝叶斯4.1 核心原理4.2 算法流程 前言 如果想看…

天马学航——智慧教务系统(移动端)开发日志四

天马学航——智慧教务系统(移动端)开发日志四 日志摘要:优化了教师端界面的UI,更新了教师端添加课程,提交成绩等功能,修复了一些已知的BUG 1、教师添加课程设计 教师在此界面添加课程,并将数据提交后端进行审核 界…

WAAP的特性、功能以及优势

随着互联网技术的快速发展,Web应用程序和API已经成为企业日常运营中不可或缺的部分。然而,与此同时,网络攻击手段也愈发复杂和隐蔽,给企业的数据安全带来了严峻的挑战。为了应对这一挑战,WAAP(WebApplicati…

fastapi+vue3+primeflex前后端分离开发项目第一个程序

安装axios axios是用来请求后端接口的。 https://www.axios-http.cn/docs/intro pnpm 是一个前端的包管理工具,当我们需要给前端项目添加新的依赖的时候,就可以使用pnpm install 命令进行安装。 pnpm install axios安装 primeflex primeflex是一个cs…

知乎客户端跨平台-Hybrid-调试实战

在开发上述功能的过程中,发现了 flipper 这个工具 flipper 提供了一个桌面客户端,然后这个桌面客户端提供了一个和手机客户端通信的机制,免去了 socket 服务的开销,依靠这个通信机制,我们可以把上述的功能复制过来 基…

AI时代的音乐革命:创作更简单,灵魂在哪里?

#AI在创造还是毁掉音乐# 我是李涛,一名音乐创作者,最近一直在思考一个问题:AI到底是在创造音乐,还是在毁掉音乐? 几个月前,我第一次接触到AI音乐创作工具。它让我震惊,只需要输入几个关键词&a…

Apollo9.0 PNC源码学习之Planning模块(一)—— 规划概览

0 前言 规划本质就是搜索问题,数学角度来看就是寻找函数最优解 规划模块复杂的就是相关的逻辑处理和过程计算 对于规划的三维问题,目前解决方案:降维+迭代 将SLT问题分解为ST和SL二维优化问题:在一个维度优化之后,再另一个维度再进行优化,最后整合成三维的轨迹。 虽然降…

How to create a langchain doc from an str

问题背景: Ive searched all over langchain documentation on their official website but I didnt find how to create a langchain doc from a str variable in python so I searched in their GitHub code and I found this : 在 langchain 的官方文档中&#…

游戏工厂:AI(AIGC/ChatGPT)与流程式游戏开发

游戏工厂:AI(AIGC/ChatGPT)与流程式游戏开发 码客 卢益贵 ygluu 关键词:AI(AIGC、ChatGPT、文心一言)、流程式管理、好莱坞电影流程、电影工厂、游戏工厂、游戏开发流程、游戏架构、模块化开发 一、前言…

Vue76-路由对浏览器历史记录的影响

一、push模式 默认是push 二、replace模式 替换当前记录! (当前指针指向的那一条记录) 三、小结

完全禁用 Windows Defender

你有没有这样的情况,安装软件时,关闭了Windows实时保护,过几天,打开软件时,发现里面的附件被吞噬,因为Defender又自动打开了,那么,有没有一款可以完全禁用Defender的工具&#xff0c…

年薪50w+的项目经理,手把手教你如何复盘

复盘是一种重要的学习和改进工具,对于项目经理来说,能帮助识别项目中的成功与失败,为未来的项目管理提供宝贵经验。 理论部分 定义目标。在开始复盘之前,明确复盘的目标是什么。是为了找出项目中的问题并提出解决方案&#xff0c…

腾讯大牛,手把手教你建立自己的Android学习知识体系,附实例+面经+建议!

主要让我介绍了我的项目,又出了几个题让我做。 项目就是让我介绍我科研做的那个流式二维码数据传输系统,我介绍的时候面试官听的很仔细,让我详细介绍了拍到的二维码是如何定位、采样和识别转换成二进制流的。然后问我传输速率是多少&#xff…

stm32学习笔记---新建工程步骤和点灯演示

目录 STM32的三种开发方式 基于寄存器的方式 基于库函数的方式 基于Hal库的方式 固件库介绍 新建基于标准库的工程步骤 配置寄存器来完成点灯操作 添加库函数来完成点灯操作 添加库函数 开始点灯操作 第一步:使能时钟 第二步:配置端口模式 …