【Xilinx】常用的全局时钟资源相关Xilinx器件原语

1 概述

        常用的与全局时钟资源相关的Xilinx器件原语包括:

  • IBUFG
  • IBUFGDS、OBUFGDS 和 IBUFDS、OBUFDS
  • BUFG
  • BUFGP
  • BUFGCE
  • BUFGMUX
  • BUFGDLL
  • IBUFDS_GTXE1
  • IBUFDS_GTE2
  • IBUFDS_GTE3
  • OBUFDS_GTE3
  • IBUFDS_GTE4
  • OBUFDS_GTE4
  • DCM

刚开始看到这写源语,免不了好奇这些源语对应的是哪些器件和官方文档?

6系列 7系列和ultrascale系列有什么区别?

如何正确的使用时钟网络?


2 源语简要说明

IBUFG  

Single-ended Input Global Clock Buffer

即输入全局缓冲,是与专用全局时钟输入管脚相连接的首级全局缓冲。所有从全局时钟管脚输入的信号必须经过IBUF元,否则在布局布线时会报错。 IBUFG支持AGP、CTT、GTL、GTLP、HSTL、LVCMOS、LVDCI、LVDS、LVPECL、LVTTL、PCI、PCIX和 SSTL等多种格式的IO标准。

IBUFGDS、OBUFGDS

 IBUFGDS是专用差分信号输入缓冲器

 OBUFGDS是专用差分信号输出缓冲器

对比 IBUFDS、OBUFDS,IBUFGDS、OBUFGDS是对应差分时钟信号

 IBUFDS、OBUFDS是差分信号的输入输出全局缓冲

BUFG

全局缓冲,它的输入是IBUFG的输出,BUFG的输出到达FPGA内部的IOB、CLB、选择性块RAM的时钟延迟和抖动最小。

BUFGP

BUFGP相当于IBUG加上BUFG。

BUFGCE

Global Clock Buffer w/ Enable:带有时钟使能端的全局缓冲。它有一个输入I、一个使能端CE和一个输出端O。只有当BUFGCE的使能端CE有效(高电平)时,BUFGCE才有输出。 (带有时钟使能端的BUFG)

BUFGMUX

 BUFGMUX是全局时钟选择缓冲,它有I0和I1两个输入,一个控制端S,一个输出端O。当S为低电平时输出时钟为I0,反之为I1。需要指出的是BUFGMUX的应用十分灵活,I0和I1两个输入时钟甚至可以为异步关系。

BUFGDLL

是全局缓冲延迟锁相环,相当于BUFG与DLL的结合。BUFGDLL在早期设计中经常使用,用以完成全局时钟的同步和驱动等功能。随着数字时钟管理单元(DCM)的日益完善,目前BUFGDLL的应用已经逐渐被DCM所取代。

IBUFDS GTXE、GTE1/2/3/4

IBUFDS: reference clock input 6 series GTP FPGAs

IBUFDS_GTXE1: reference clock input 6 series GTX FPGAs

IBUFDS_GTE2: reference clock input 7 series GTP/GTX/GTH FPGAs

IBUFDS_GTE3: reference clock input UltraScale GTH FPGAs

OBUFDS_GTE3: reference clock output UltraScale GTH FPGAs

IBUFDS_GTE4: reference clock input UltraScale+ GTY FPGAs

OBUFDS_GTE4: reference clock output UltraScale+ GTY FPGAs

DCM

数字时钟管理单元,主要完成时钟的同步、移相、分频、倍频和去抖动等。DCM与全局时钟有着密不可分的联系,为了达到最小的延迟和抖动,几乎所有的DCM应用都要使用全局缓冲资源。DCM可以用Xilinx ISE软件中的Architecture Wizard直接生成。


3 器件和GT的速度

Spartan 6™ GTP (3.2Gb/s):功耗和成本优化的收发器,适用于成本敏感型应用

7 系列 GTP (6.6Gb/s):针对消费类和传统串行标准的功耗优化收发器

UltraScale+ GTH (16.3Gb/s):低功耗和高性能,适合最坚固的背板

UltraScale+ GTY (32.75Gb/s):为最快的光学和背板应用提供最大 NRZ 性能;适用于芯片到芯片、芯片到光学器件和 28G 背板的 33G 收发器

UltraScale™ GTH (16.3Gb/s):低功耗和高性能,适合最坚固的背板

UltraScale GTY (30.5Gb/s):光学和背板应用的高性能;适用于芯片到芯片、芯片到光学器件和 28G 背板的 30G 收发器


4 源语对应的器件和官方文档资料

Spartan-6 FPGA GTP Transceivers User Guide (UG386):AMD Adaptive Computing Documentation Portal

参考时钟在软件中使用IBUFDS原语实例化。控制参考时钟的端口和属性没有绑定到每个IBUFDS,而是映射到GTPA1_DUAL

Virtex-6 FPGA GTX Transceivers User Guide (UG366):AMD Adaptive Computing Documentation Portal

参考时钟在软件中使用IBUFDS_GTXE1软件原语实例化。控制参考时钟输入的端口和属性绑定到IBUFDS_GTXE1软件原语。

7 Series FPGAsGTP Transceivers(UG482):AMD Adaptive Computing Documentation Portal

7 Series FPGAs GTX/GTH Transceivers User Guide (UG476):AMD Adaptive Computing Documentation Portal

参考时钟在软件中使用IBUFDS_GTE2软件原语实例化。控制参考时钟输入的端口和属性绑定到IBUFDS_GTE2软件原语。

 UltraScale 架构 GTH 收发器用户指南 ( UG576 ) :AMD Adaptive Computing Documentation Portal

参考时钟输入模式结构如图2-1所示。输入在内部终止,每条腿上的4/5 MGTAVCC用于UltraScale fpga,完整的MGTAVCC用于UltraScale+ fpga。参考时钟在软件中实例化,在UltraScale fpga中使用ibufds_gte3软件原语,在UltraScale+ fpga中使用IBUFDS_GTE4软件原语。控制参考时钟输入的端口和属性绑定到ibufds_gte3 /4软件原语。

带OBUFDS_GTE3/4原语的参考时钟输出模式结构如图2-2所示。控制参考时钟输出的端口和属性绑定到UltraScale fpga中的obufds_gte3软件原语和UltraScale+ fpga中的OBUFDS_GTE4软件原语。

UltraScale 架构 GTY 收发器用户指南 ( UG578 ) :AMD Adaptive Computing Documentation Portal

关于Xilinx原语详解——IBUFDS & OBUFDS:

Xilinx原语详解——IBUFDS & OBUFDS - 知乎

bufg和bufgp_vivado中BUFG和BUFGCE使用-CSDN博客

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.mzph.cn/bicheng/16362.shtml

如若内容造成侵权/违法违规/事实不符,请联系多彩编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

IDEA如何对多线程进行debug

开发中使用到多线程的时候不少,但是debug起来还是比较困难的,因为默认每次只会进入一个线程,这样有些问题是发现不了的,其实IDEA也是支持进入每个线程来debug的 写一个简单的demo public class ThreadDebug {public static void main(String[] args) {MyThread myThread new…

异方差的Stata操作(计量114)

以数据集 nerlove.dta 为例,演示如何在 Stata 中处理异方差。 此数据集包括以下变量: tc ( 总成本 ) ; q ( 总产量 ) ; pl ( 工资率 ) ; pk ( 资本的使用成本 ) ; pf ( 燃料价格 ) ; …

GESP等级大纲

CCF编程能力等级认证概述 CCF编程能力等级认证(GESP)为青少年计算机和编程学习者提供学业能力验证的规则和平台。GESP覆盖中小学阶段,符合年龄条件的青少年均可参加认证。C & Python编程测试划分为一至八级,通过设定不同等级…

[自动驾驶技术]-6 Tesla自动驾驶方案之硬件(AI Day 2021)

1 硬件集成 特斯拉自动驾驶数据标注过程中,跨250万个clips超过100亿的标注数据,无论是自动标注还是模型训练都要求具备强大的计算能力的硬件。下图是特斯拉FSD计算平台硬件电路图。 1)神经网络编译器 特斯拉AI编译器主要针对PyTorch框架&am…

AI数据面临枯竭

Alexandr Wang:前沿研究领域需要大量当前不存在的数据,未来会受到这个限制 Alexandr Wang 强调了 AI 领域面临的数据问题。 他指出,前沿研究领域(如多模态、多语言、专家链式思维和企业工作流)需要大量当前不存在的数…

压缩能力登顶 小丸工具箱 V1.0 绿色便携版

平常录制视频或下载保存的视频时长往往都很长,很多时候都想要裁剪、 截取出一些“精华片段”保留下来,而不必保存一整个大型视频那么浪费硬盘空间… 但如今手机或电脑上大多数的视频剪辑软件,切割视频一般都要等待很长时间导出或转换&#…

【C语言回顾】编译和链接

前言1. 编译2. 链接结语 上期回顾: 【C语言回顾】文件操作 个人主页:C_GUIQU 归属专栏:【C语言学习】 前言 各位小伙伴大家好!上期小编给大家讲解了C语言中的文件操作,接下来我们讲解一下编译和链接! 1. 编译 预处理…

H5扫描二维码相关实现

H5 Web网页实现扫一扫识别解析二维码,就现在方法的npm包就能实现,在这个过程中使用过html5-qrcode 和 vue3-qr-reader。 1、html5-qrcode的使用 感觉html5-qrcode有点小坑,在使用的时候识别不成功还总是进入到错误回调中出现类似NotFoundExc…

天干物燥小心火烛-智慧消防可视化大屏,隐患防治于未然。

智慧消防可视化大屏通常包括以下内容: 1.实时监控: 显示消防设备、传感器、监控摄像头等设备的实时状态和数据,包括火灾报警、烟雾报警、温度报警等。 2.建筑结构: 显示建筑物的结构图和平面图,包括楼层分布、消防通…

VLC播放器(全称VideoLAN Client)

一、简介 VLC播放器(全称VideoLAN Client)是一款开源的多媒体播放器,由VideoLAN项目团队开发。它支持多种音视频格式,并能够在多种操作系统上运行,如Windows、Mac OS X、Linux、Android和iOS等。VLC播放器具备播放文件…

特殊变量笔记3

输入一个错误命令, 在输出$? 特殊变量:$$ 语法 $$含义 用于获取当前Shell环境的进程ID号 演示 查看当前Shell环境进程编号 ps -aux|grep bash输出 $$ 显示当前shell环境进程编号 小结 常用的特殊符号变量如下 特殊变量含义$n获取输入参数的$0, 获取当前She…

hugging face笔记:PEFT

1 介绍 PEFT (Parameter-Efficient Fine Tuning) 方法在微调时冻结预训练模型参数,并在其上添加少量可训练的参数(称为适配器)这些适配器被训练用来学习特定任务的信息。这种方法已被证明在内存效率和计算使用上非常高效,同时能产…

线性模型--普通最小二乘法

线性模型 一、模型介绍二、用于回归的线性模型2.1 线性回归(普通最小二乘法) 一、模型介绍 线性模型是在实践中广泛使用的一类模型,该模型利用输入特征的线性函数进行预测。 二、用于回归的线性模型 以下代码可以在一维wave数据集上学习参…

基于51单片机的超声波液位测量与控制系统

基于51单片机液位控制器 (仿真+程序+原理图PCB+设计报告) 功能介绍 具体功能: 1.使用HC-SR04测量液位,LCD1602显示; 2.当水位高于设定上限的时候,对应声光报警报警&am…

【InternLM实战营第二期笔记】02:大模型全链路开源体系与趣味demo

文章目录 00 环境设置01 部署一个 chat 小模型02 Lagent 运行 InternLM2-chat-7B03 浦语灵笔2 第二节课程视频与文档: https://www.bilibili.com/video/BV1AH4y1H78d/ https://github.com/InternLM/Tutorial/blob/camp2/helloworld/hello_world.md 视频和文档内容基…

云计算和大数据处理

文章目录 1.云计算基础知识1.1 基本概念1.2 云计算分类 2.大数据处理基础知识2.1 基础知识2.3 大数据处理技术 1.云计算基础知识 1.1 基本概念 云计算是一种提供资源的网络,使用者可以随时获取“云”上的资源,按需求量使用,并且可以看成是无…

STM32应用开发进阶--IIC总线(SHT20温湿度+HAL库_硬件I2C)

实现目标 1、掌握IIC总线基础知识; 2、会使用软件模拟IIC总线和使用STM32硬件IIC总线; 3、 学会STM32CubeMX软件关于IIC的配置; 4、掌握SHT20温湿度传感器的驱动; 5、具体目标:(1)用STM32硬件IIC驱动S…

49 序列化和反序列化

本章重点 理解应用层的作用,初识http协议 理解传输层的作用,深入理解tcp的各项特性和机制 对整个tcp/ip协议有系统的理解 对tcp/ip协议体系下的其他重要协议和技术有一定的了解 学会使用一些网络问题的工具和方法 目录 1.应用层 2.协议概念 3. 网络计…

CSRF跨站请求伪造实战

目录 一、定义 二、与XSS的区别 三、攻击要点 四、实战 一、定义 CSRF (Cross-site request forgery,跨站请求伪造),攻击者利用服务器对用户的信任,从而欺骗受害者去服务器上执行受害者不知情的请求。在CSRF的攻击场景中,攻击…